FPGA工程师用了都喜欢的编辑神器—Vs Code

大侠好,今天是“宁夏李治廷”本人头一次和各位见面,先给各位推荐一个经本人长期使用感觉还不错,周边反响也不错的编译器。以后机会多多,慢慢分享一些项目开发以及深造学习方面的内容,欢迎各位大侠一起切磋交流,华山论剑,不论成败,取其精华,去其糟粕,共同进步。话不多说,上货。

 

Visual Studio Code 可以从应用商店直接获取,这里,为了给大侠行个方便,也提供了安装包网盘链接。如何获取安装包网盘链接,请在“FPGA技术江湖”公众号内回复“Vs Code”。

 

VS CODE优势

 

作为工程师通常在使用开发环境的时候都会选择一个适合自己习惯和审美的编辑器。Vs Code作为微软的编辑器曾被称为良心,它是一款免费开源的代码编辑器,支持现今主流的开发语言,支持插件扩展,可以在应用商店中直接找到对应的插件工具进行安装,并且卸载也十分方便,如果大家有兴趣可以自行设计喜欢的插件来改变Vs Code的界面和功能。使自己的开发环境与众不同且灵活高效。

 

 

 

Vs Code软件跨平台支持 Win、Mac 以及 Linux。作为设计者和开发者平常较为头疼的是如何进行设计工程的备份和管理,Vs Code集成git可以轻松进行设计版本的控制,包括本地版本和远程服务器版本。

 

 

 

 

对于开发者来说十分友好的是语法高亮、智能代码补全、自定义热键等一系列特性。无论是双击关键词还是Ctrl+F进行搜索都可以快速找到关键语句的位置,为工程项目的开发中节省时间。

 

 

FPGA开发环境关联VS CODE

 

  • Vivado

在Vivado中可以按以下设置进行关联Vs Code。首先点击Tools,选择Text Editor,然后进行自定义链路关联,复制安装VS code的路径后填入,在Code.exe后需加入[file name] -[line number],最后点击Apply应用。

 

 

  • Quartus II/Quartus Prime

在Quartus 中可以按以下设置进行关联Vs Code。首先点击Tools,选择Options,然后在Preferred Text Editor选项中选择Custom进行自定义链路关联,同样复制安装VS code的路径后填入,在Code.exe后需加入 -n%| %f,最后点击OK应用。

通过测试,若电脑中存在两个版本的Quartus ,无论是Quartus II还是Quartus Prime只要设置好其中一个关联VS Code后,另外一个版本也会自动关联,无需再次进行设置。

 

 

 

我已经隔空感受到了大侠的盖世武功,大侠天赋异禀,本次交流到此结束,点到为止,有缘再见,告辞。

  • 8
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
02-09 400

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值