verilog 4级伪随机码发生器 时钟 复位

在这里插入图片描述

不能全0:4位除去0000,还有15种

在这里插入图片描述


m_gen.v:


// 2022-1-20 verilog学习
// 四级伪随机码发生器

`timescale 1ns/10ps
module m_gen(
			clk,  // 时序电路三大样: clk,res,y
			res,
			y
			);

input		clk;
input		res;
output		y;

reg[3:0]	d;  // 4位触发器 _ _ _ _
assign		y = d[0];

always@(posedge clk or negedge res)
if(~res) begin
	d <= 4'b1111;  // 不能全0,用1111复位(周期为0001-1111)
end
else begin
	d[2:0] <= d[3:1];   // 右移一位 (1110->_111)
	d[3] <= d[3]+d[0];   // 模二加(=异或=只相加,不进位)
end

endmodule

// testbench 测试台
module m_gen_tb;

reg			clk,res;
wire		y;

m_gen m_gen(
			.clk(clk), 
			.res(res),
			.y(y)
			);
						
initial begin
						clk<=0; res<=0;
				#17		res<=1;
				#600 	$stop;  // _ _ _ _除去0000一个周期15种,150ns一圈,600ns跑4圈
end

always #5 clk = ~clk; // 5ns时钟翻转一次,10ns一个周期

endmodule


modelsim 仿真:

只加入选中信号(selected),不加入object中所有信号(in region)

在这里插入图片描述

在这里插入图片描述

触发器 d[i] 是触发器 d[i-1] 的后移
在这里插入图片描述


在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值