异步复位同步释放

1、概念       

        异步复位同步释放就是在复位信号到来的时候不受时钟信号的同步,在复位信号释放的时候受到时钟信号的同步;

        优点是可以避免电路出现瞬态错误或者亚稳态。

2、原理图

3、代码实现

/***********************************************/
/************  0、异步复位同步释放  ***************/
/***********************************************/
wire	rst_sync_n;	//同步复位信号
reg		rst_sync_1;
reg		rst_sync_2;

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		rst_sync_1 <= 1'b0;
		rst_sync_2 <= 1'b0;
	end	
	else begin
		rst_sync_1 <= rst_n;
		rst_sync_2 <= rst_sync_1;
	end	
end

assign rst_sync_n = rst_sync_2;

4、仿真波形图

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

内有小猪卖

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值