看懂别人写的代码

(0)先看项目的需要实现什么,自己先在大脑中想一下怎么实现,再看原理图,下载代码到板子上面,一遍调试一遍,一遍看原理图,需要看看有无终端

(一)阅读他人的程式码( 1 ) —读懂程式码,使心法皆为我所用

1.先了解系统架构与行为模式,再细读

(在你需要了解任何片段的细节实作时,能够很快在脑上对映到具体的程式码位置,直到那一刻,才是细读的时机)

2.熟悉沟通语言与惯例用语

3.掌握程式码撰写者的当初写这个的思路

(二)阅读他人的程式码( 2 ) -摸清架构,便可轻松掌握全貌

1.阅读程式码的目的,在于了解全貌而非细节

2.由上而下厘清架构后,便可轻易理解组成关系

3.了解架构,必须要加上层次感

4.探索架构的第一件事:找出系统如何初始化

5.探索架构的第一步─ ─找到程式的入口 (??)

(三)阅读他人的程式码( 5 ) -找到程式入口,再由上而下抽丝剥茧

1.展开的同时,随手记录树状结构

2.无法望文生义的函式,先试着预看一层

3.根据需要了解的粒度,决定展开的层数

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: 要看别人的Verilog代码,可以按照以下步骤进行快速阅读: 1. 弄清楚模块的作用:首先要知道模块是做什么的,有什么作用,能够用一句话描述就够了。 2. 弄清楚重要的输入信号和输出信号:确定最重要的输入信号和输出信号是什么,不需要知道所有的信号,只要知道能体现出模块功能的信号是什么就可以了,称之为关键信号。 3. 了解重要信号的外部连接情况:弄清楚关键输入信号来自哪些模块以及输出信号提供给哪些模块,构建出大致的结构图。 4. 构建模块内部数据流:构建模块内部数据流,了解关键信号经过了哪些内部模块,做了哪些处理工作,输出信号又是如何产生的。通过正向追踪输入信号和反向驱动输出信号,快速构建数据处理流程。 5. 选择重点信号细看代码:完成前面的步骤后,选择一个关键输入信号细看数据流的处理过程,把数据流理清,然后再开始看不重要的信号。\[3\] 通过以上步骤,可以帮助你快速了解Verilog代码的结构和功能,从而更好地理解别人的代码。 #### 引用[.reference_title] - *1* *2* [到底怎样编Verilog代码——FPGA入门(一)](https://blog.csdn.net/qq_35977545/article/details/107552655)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [如何快速阅读verilog代码?](https://blog.csdn.net/icxiaoge/article/details/80457058)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值