自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

蜻蜓队长c的博客

我没有天赋,但我总是想试一试,一个普通人怀有梦想会是怎么样!

  • 博客(3)
  • 资源 (46)
  • 收藏
  • 关注

原创 Cadence IC617——后端验证工具ASSURA04.15-617安装教程

ASSURA04.15-617下载链接:https://pan.baidu.com/s/1gVi1y5N1c4hmbsu2yuNlQA 提取码:ygdipatch下载链接:http://pan.baidu.com/s/1eQ48TweNCSU的CDK及FreePDK工艺库(仅教学练习使用):https://pan.baidu.com/s/1gdei4s...

2020-03-26 00:54:47 18745 25

转载 Notepad++代码编辑器——Verilog编译

转载:原文链接:https://www.cnblogs.com/xianyufpga/Notepad++下载链接(包含插件):https://pan.baidu.com/s/1uc5AmpB-dGMynbVZkLsmXg提取码:86ybNotepad++是一款精致小巧的编辑器,自带Verilog语法识别功能,插件也挺好用的。尤其是利用插件实现代码片段,大大节省我们写Veril...

2020-03-17 21:38:06 10409 5

原创 Cadence IC设计环境搭建( IC617+MMSIM151+Calibre2015)

做IC版图设计,必不可少的环境搭建,是在Linux上进行开发,此类的安装教程网上比较少,自己也是跌跌撞撞,最终耗了一天的时间才装好呵呵呵~,期间主要参考了下面两篇文章。1.知乎文章2.简书文章3.安装需要的文件: 链接:https://pan.baidu.com/s/14acWcuHvXGRkqf_8zkveDQ 提取码:uahd以下为我的使用画面...

2020-03-07 21:33:44 74606 119

AHB_SRAM_master_test

RTL+TB

2022-06-09

Makefile示例四

Makefile示例四

2022-06-07

verdi_vcs_lab2

初学者跑verdi和vcs的lab2!(内部readme必读)

2022-05-11

Redhat6.7的镜像源

更改redhat6.7的镜像源,直接将它替换掉/etc/yum.repos.d/中的CentOS-Base.repo

2022-05-10

gvim的字体和主题配色方案

1、根据我的.vim文件找到在下方的网站找到相应的主题 2、主题网站:https://www.vim.org/scripts/script_search_results.php 3、然后将主题放在 ~/.vim/colors/

2022-04-25

DC_lab练手实验,dc版本2015/2016

1. lab1已经配置好,直接执行 dc_shell -f scripts/run_comp.tcl | tee -i syn.log 2. makefile 是用来清理导出的那些文件 3. 把压缩包放到虚拟机再进行解压,如果在Windows下解压可能会有问题!

2022-04-25

verdi_vcs_lab1

初学者跑verdi和vcs的lab!(内部readme必读)

2022-04-25

IC虚拟机.bashrc

IC虚拟机.bashrc和.vimrc

2022-04-25

KEIL5_flash_stm32f1~f4

1.KEIL5_flash_stm32f1~f4

2022-03-10

花样流水灯(9个LED).zip

1.花样流水灯,一共9个LED,高电平量,低电平灭。 2.Pin引脚不是连续的,通过数组把他们联合起来。 3.主控STM32F103ZET6,一共9种模式。 4.第一种模式:一滴一滴向下滴满。 第二种模式:一滴一滴向上抽走直到抽完。其他模式都通过是用数组来写的,直接看数组中的值即可。

2022-03-06

目前使用的AD封装库3.0

目前使用的AD封装库3.0

2022-01-31

pmbus电源管理总线协议参考资料.zip

pmbus电源管理总线协议参考资料.zip

2021-09-12

zynq开发文档.zip

zynq开发文档.zip

2021-09-02

zybo-7z10.zip

zybo-7z10.zip

2021-09-02

OAM开发过程中需要的库

OAM开发过程中需要的库

2021-09-02

bashrc.cshrc.zip

bashrc.cshrc.zip

2021-02-26

csh、bash.zip

csh、bash.zip

2021-02-26

Share_rpm.zip

Share_rpm.zip

2021-02-25

CentOS-Base.repo(centos7)

CentOS-Base.repo(centos7) 替换掉/etc/yum.repos.d/中的CentOS-Base.repo

2021-02-19

redhat-lsb.zip

redhat-lsb.zip

2021-01-23

libXScrnSaver-1.2.2-6.1.el7.x86_64.zip

INNOVUS152和GENUS152在linux必须安装的rpm包。

2021-01-17

Base_EXT15.10.000_lnx86_1of2.tar

Base_EXT15.10.000_lnx86_1of2.tar

2021-01-15

Base_EXT15.10.000_lnx86_2of2.tar

Base_EXT15.10.000_lnx86_2of2.tar

2021-01-15

HC74181(gpdk090、gsclib090).zip

基于cadence四位算术逻辑单元74HC181芯片的设计(gpdk090、 gsclib090工艺库)

2021-01-13

四路超声波测距.zip

四路超声波测距.zip

2021-01-13

(IC设计实验D触发器).zip

(IC设计实验D触发器).zip

2021-01-13

专业工程设计二(数字芯片74hc181)

专业工程设计二(数字芯片74hc181)

2021-01-13

gpdk090_v4.6.工艺库

cadence IC设计90纳米工艺库,仅供教学练习使用,请勿商用。

2020-03-26

IC617安装.pdf

IC615安装说明,新手如果不清楚他的安装过程,可以参考里面的步骤,很详细,可以解决掉你的疑问。。。

2020-03-22

智能窗户(stm32+ld3320).zip

智能窗户(stm32 + hmi陶晶池(4.3)+ 57步进电机 + ld3320语音模块)。文件包括源码+pcb+串口屏图片素材。

2020-01-31

OPENMV3_chen.zip

openmv3原理图,PCB,可直接打板使用!

2019-10-25

FDC2214_STM32_UART(福).zip

2018年电子设计大赛,stm32+hmi串口屏手势识别系统。区一。。

2019-10-10

无线仿真器资料_包含nRF24L01驱动.zip

无线仿真器资料》

2019-10-09

数字式相位测量仪F4代码.zip

stmf4+fpga+4.3寸TFTLCD屏,测量频率,相位差,占空比。 fpga代码请到此处下载 https://download.csdn.net/download/qq_40987215/11169489

2019-09-06

纸张计数测量.zip

2019年国赛F题纸张计数测量

2019-08-30

480x272(4.3).zip

4.3寸HMI串口屏代码,挺好用的人机交互显示屏,配合stm32f103进行在线调参,以及显示相关参数......

2019-08-22

2019年国赛(准备代码HMI).zip

在准备2019年国赛写的在线调参的HMI串口屏,内部有pid闭环在线调节,pwm输出,编码器脉冲捕获.......

2019-08-22

直流电机速度环PID闭环控制(CHEN).zip

支持HMI串口屏在线改pid参数,以及stm32f1可以通过串口读取hmi上的按键键值。

2019-07-23

eagle转AD的脚本文件ulp.zip

eagle转AD的脚本文件ulp

2019-07-20

AltiumDesigner_PcbLibrary-master.zip

相对较全的贴片AD库文件,基本满足所有开发的初学者,以及日常使用。

2019-07-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除