Cadence IC设计环境搭建( IC617+MMSIM151+Calibre2015)

做IC版图设计,必不可少的环境搭建,是在Linux上进行开发,此类的安装教程网上比较少,自己也是跌跌撞撞,最终耗了一天的时间才装好呵呵呵~,期间主要参考了下面文章。

1. 知乎文章

2. 简书文章

3.EETOP文章


1. 安装需要的文件:https://pan.baidu.com/s/1O3a_c9DcCuY_sh26ySkciA                 提取码:z8wq 

2. IC615使用说明:IC615使用说明2016517.pdf_cadenceic61学习笔记-硬件开发文档类资源-CSDN下载


1. ASSRUA04.15的安装:Cadence IC617——后端验证工具ASSURA04.15-617安装教程_九尾1874的博客-CSDN博客_assura安装

2. GENUS152/INNOVUS152依赖包安装(Centos7)GENUS152/INNOVUS152/EXT151依赖包安装(Centos7)_九尾1874的博客-CSDN博客

3. EXT151(QRC)安装步骤:  EXT151(QRC)安装步骤_九尾1874的博客-CSDN博客_qrc提取寄生参数流程

4. Use cdb2oa to convert your data from CDB to OA:IC617:use cdb2oa to convert your data from CDB to OA_九尾1874的博客-CSDN博客_cdb2oa


以下为我的使用画面

  1. 新建一个share文件夹并将所有安装文件拷贝进去(文件已解压好的,需要40G左右),这个文件夹将作为虚拟机和Windows之间共享文件的文件夹(当然也可以将共享文件夹设置为其他路径)

一、安装VMware

现有VMware Workstation 14和VMware Player 14两种虚拟机软件:

不建议使用其他旧版本的VMware,从VMware到CentOS系统到Cadence的版本都必须互相兼容,减小出现问题的可能性。

  • VMware player 14:面向个人的免费、精简版,但功能齐全,日常使用完全够用,推荐使用,界面预览如下:

  • VMware workstation Pro 14:面向商业的专业版,相比免费版多了虚拟机快照管理(快速备份恢复)、虚拟机克隆、虚拟网络编辑器等高级功能,网盘已附激活秘钥,本文后文使用本版本为示范,界面预览如下:

安装过程与其他软件类似,此处不赘述(在安装过程中,请记得添加许可证书的密钥,压缩文件中有。)

###########################################################

安装时会自动识别windows的区域和语言设置,如果默认为“中文”则安装过程会显示中文,安装完成的软件也是显示中文,以下以中文为示范

###########################################################

二、安装CentOS 7

  • 在VMware中添加新虚拟机,点击“文件”—“新建虚拟机”,然后分别按照以下步骤操作:

  • 选择镜像文件

  • 设置虚拟机名称和路径

  • 设置磁盘大小,这里并不是一下子就占用这么大的磁盘空间,而是随着使用的需要而扩大(建议设置60-100GB左右)

  • 取消“创建后开启此虚拟机”的勾选,点击“完成”

运行需要进行硬件的配置,点击“编辑虚拟机设置”

  • 内存:根据建议尽量设大
  • 处理器:设置为最大内核数
  • 打印机:不需要使用,点击“移除”
  • 共享文件夹:根据提示添加共享文件夹

  • 在设置共享文件之前,先在虚拟机目录下新建一个Share文件夹,然后添加共享文件夹主机路径指定到这里。

三、安装CentOS 7

  • 点击“开启此虚拟机”,开始运行后,需要将鼠标移出界面的需要按Ctrl+Alt,需要移回则左键点击界面

用箭头↑选择Install CentOS 7,之后回车,然后再回车

  • 进入语言选择界面,按默认的英语,点击“Continue”
  • 不建议使用中文,否则会出现问题,例如中文输入法会导致Calibre输入框无法输入
  • 选择“SOFTWARE SELECTION”--“GNOME Desktop”—“Done”,即选择带GNOME桌面的套件

  • 选择“INSTALLATION DESTINATION”--“Done”,自动完成

  • 然后点击“Begin Installation”开始安装

  • 需要分别创建root用户和一个普通用户,自己设置好密码,然后等待安装完成

  • 安装完成,点击“Reboot”进行重启

  • 重启完成后进入此画面,点击“LICENSE INFORMATION”--勾选“I accept the license agreement”同意条款--“Done”

  • 点击“NETWORK&HOST NAME”----修改Host name(记得点“Apply”)----打开网络----“Done”
  • 据说IC617不支持默认主机名也就是 localhost.localdomain ,因此保险起见做了修改

  • 然后就可以点击”FINISH CONFIGURATION”进入系统

  • 在用户登录界面我们选择直接使用root用户登录(包括以后的操作也需要在root用户下操作,后面不再赘述),也可以用普通用户登录,但是后面的安装过程都要在root权限下执行,同样需要切换到root用户。

  • 进入桌面后是设置语言、键盘等直接按照默认,一路点”Next”或者”Skip”,至此CentOS 7 64-bit操作系统就安装好了,接下来进行安装Cadence 前的相关配置

四、网络连接配置

  • 由于下一步运行库的配置需要联网获取文件,因此可先确认网络连接
  • 点击“Applications”----“System Tools”----“Settings”,下拉找到“Network”,将网络开关打开

  • 打开Firefox,如果可以进行正常上网,那么说明已连上网。

五、运行库配置

  • Cadence 、MMSIM、或本节末尾要安装的VMware Tools,安装或者运行过程都需要一些库文件的支持,而并不是所有linux系统都会安装完整这些库文件,,因此首先将这些必需的库文件配置好后,后面安装Cadence的过程就会如飘柔般顺滑 (*・ω< )
  • :此处为大坑,缺少库文件报错是最常见的、最容易遇到的问题,如果后面安装遇到问题的可以回来在重新加载一下;如果还是不成功可以更换一下centos7的镜像源,可以参考:更换镜像源Centos-base.repo
  • 在桌面右键---“Open Terminal”可打开终端(root权限下进行),如果出现  Is this ok [y/d/N]:输入y,回车。

  • 打开终端输入命令时注意是否有空格
  • VMware Tools需要的库

yum update kernel -y

yum install kernel-headers -y

yum install kernel-devel -y

yum install gcc -y

yum install make -y

  • Cadence需要的库

yum install ksh -y

yum install libXext.so.6 -y

yum install libXtst.so.6 -y

yum install libXt.so.6 -y

yum install libGLU.so.1 -y

yum install mesa-libGLU -y

yum install libelf.so.1 -y

yum install libXrender.so.1 -y

yum install libXp.so.6 -y

yum install libXrandr.so.2 -y

yum install *xorg* -y

yum install libXp -y

yum install ld-linux.so.2 -y

yum install openmotif -y

yum install libstdc++.so.5 -y

yum install xterm -y

  • MMSIM需要的库

yum install gcc -y

yum install gcc-c++ -y

yum install csh -y

yum install *xorg-X11-fonts* -y

yum install compat-libstdc++-33.i686 -y

yum install alliance-libs -y

yum install alliance -y

yum install glibc-2.12-1.107.el6.i686 -y

yum install glibc-devel.i686 -y

yum install glibc -y

yum install compat-readline5-5.2-17.1.el6.i686 -y

yum install xterm-253-1 -y

########################################################

  • 这里是将有可能用到库都写出来了,中间可能会有一些库已经安装,显示Nothing to do或者No package available可以不用管(如下图)

########################################################

  • 打开终端输入命令时注意是否有空格
  • 建立链接(如果执行没反应,或者报错,先不管继续往后)

ln -s /usr/bin/awk /bin/awk

ln -s /usr/lib/libstdc++-3-libc6.2-2-2.10.0.so /usr/lib/libstdc++-libc6.1-1.so.2

ln -s /bin/gunzip /bin/uncompress

  • 下面两句命令是有顺序的,先执行第一句然后再执行第二句

cd /lib64

ln -s libreadline.so.6 libreadline.so.5

  • 下面两句命令是有顺序的,先执行第一句然后再执行第二句

cd /usr/lib64

ln -s libhistory.so.6 libhistory.so.5

  • tmp文件权限修改

chmod 755 /usr/tmp

  • yum更新(此过程更新比较久,耐心等待!),过程中要输入一次“y”,然后回车。

yum update

  • 完成后重启

reboot

六、安装VMware Tools

  • VMware Tools用于支持鼠标移入移出、文件共享、窗口自适应等实用功能,为了方面实用必装(各位老铁们必须装,很有用)。
  • 旧版本的VMware在CentOS 7下装VMware Tools时运行库会无法识别,因此推荐软件的版本之间要新新、旧旧相搭配保证兼容。
  • 进行下步前,由于目前光驱中加载的还是系统安装镜像,(在虚拟机关闭下)可先断开目前加载的光盘镜像,顺便断开网络连接(这个…你懂得  ̄▽ ̄ 而且据说断网下启动virtuoso更快):

  • 点击“虚拟机”----“[重新]安装VMware Tools”,然后桌面上会出现一个光盘

  • 在Home目录下右键----“New Folder”新建文件夹VMwareTools,将光盘所有文件复制到文件夹下,双击VMwareTools-10.2.0-7259539.tar.gz----“Extract”----“Extract”解压至原位置

########################################################

能用图形化界面操作的复制、新建等操作我都没有在Terminal中操作,Terminal中新建文件夹,复制,解压需要分别使用mkdir、cp、tar命令

########################################################

  • 进入vmware-tools-distrib文件夹,在文件夹内打开终端,执行安装命令

./vmware-install.pl

  • 然后就一路回车,耐心等待,直至出现enjoy it表明完成:

  • 安装完成之后,就可以在/mmt/hgfs下看到设置的共享文件夹Share了,如果想通过主机和我们安装的虚拟机进行文件传输时,把文件拷到Share文件夹里面,在虚拟机中/mmt/hgfs下就可以看到文件了,打开“计算机”的正确方式:

  • 至此关于CentOS 7系统的安装和Cadence IC的运行环境的配置就完成了,下一节真正的开始安装Cadence IC617


七、安装IC设计软件

  • 开始安装之前,先建立安装目录(或者直接手动直接建立安装目录,但是要注意是下面的路径):

mkdir -p /opt/cadence/installscape

mkdir -p /opt/mentor/Calibre2015

  • 由于安装包全部都已经解压完毕,所以省去了解压的过程,直接开始安装过程(可以先在windows中解压后,通过共享文件夹Share传输到虚拟机中,就不用输入指令去解压了。

  • 安装InstallScape
  • 03.InstallScapeI下的Scape04.23-s010lnx86.t压缩包复制到/opt/cadence/installscape
  • 在installscape文件夹内打开终端,在终端执行解压命令(不能用linux直接解压,必须通过命令):

zcat IScape04.23-s010lnx86.t.Z | tar -xvf-

  • 启动InstallScape

sh /opt/cadence/installscape/iscape/bin/iscape.sh

  • 设置默认安装路径

八、安装Cadence IC617

  • 点击“Local directory/Media install”----“Browse”并选择安装文件所在的文件夹04.IC06.17.700_Base,确认路径的正确然后点“Continue>”

  • 勾选要安装的软件,选择“Next”

  • 确认安装的路径,点击“Start”开始安装

  • 注意这一步选2

  • 注意这一步选n,不要更改OA的默认安装位置

  • 其余步骤一律回车,直至安装完成

九、安装MMSIM151

  • 安装过程与IC617类似,选择安装文件夹所在的05.MMSIM15.10.284_Hotfix

  • 确认安装目录,点击“Start”开始

  • 不同的地方在于,在这里OA目录要设置为之前IC617的OA目录

  • 点击“Done”完成完装,退出InstallScape

十、安装Calibre2015

  • 05.Calibre.2015文件夹下的aoi_cal_2015.2_36.27_mib.exe拷贝至/opt/mentor/Calibre2015目录下,在该目录下打开Terminal执行:

./aoi_cal_2015.2_36.27_mib.exe

  • 先输入“D”,然后再输入“yes”,等待安装完成

十一、打补丁(输入命令时注意是否有空格)

  • 进入/mmt/hgfs/share/07.cadence_path目录,打开终端
  • 给IC617打补丁

./cadence_patch.sh /opt/cadence/IC617

  • 给MMSIM151打补丁

./cadence_patch.sh /opt/cadence/MMSIM151

  • 08.calibre_patch文件夹下的文件复制到以下目录,/opt/mentor/Calibre2015/aoi_cal_2015.2_36.27,在目录中打开终端,执行:

chmod a+x patch_calibre sfk

./patch_calibre aoi_cal_2015.2_36.27

  • 上面的运行的结果大概是2个error,还有若干个warning加若干个changed,error不能超过2个就没问题。

十二、配置IC设计环境(很重要)

  • 安装license

  • 首先获得网卡物理地址,在终端中执行下面的命令:

ifconfig

  • 如下图所示,一般就在前面几行里面是12位的,然后去掉符号,地址为:000c29336fb8

  • 在windows下,在08.calibre_license目录下,使用记事本打开licgen.bat文件,将中间的字符串替换为上图中获得的地址,然后保存。

  • 以管理员身份运行licgen.bat,则自动生成license.dat文件
  • 已知在Win10系统下,即使运行不报错,也很大概率无法生成license.dat,我是在Win7系统中生成的,若遇到无法生成的问题,可以换系统尝试。(给大家一个方法,在win7虚拟机下生成,win7虚拟机链接:https://pan.baidu.com/s/1LMXt8jXmCR7jJE2q5ebgdA 
    提取码:enu3 
    复制这段内容后打开百度网盘手机App,操作更方便哦)
  • 在/opt/mentor下新建文件夹license,将 08.calibre_license下刚生成的licens.dat文件复制到该文件夹下
  • 而cadence的license.dat文件在08.cadence_license文件夹下,直接复制到/opt/cadence/IC617/share/license目录下(坑:在不是root模式下,需要给license设置可读可写的权限,不然读取不到license文件)

十三、环境变量的配置(很重要)

  • 环境变量需要设置的内容在09.bashrc的bashrc文件内,双击打开后全选,复制。
  • 进入/home/$usrname目录或者直接是Home,这里$usrname是普通用户的用户名,例如我的是/home/CHEN或者是Home下(最好是两个路径的都改)选择显示隐藏文件

  • 双击打开.bashrc,将刚刚复制的内容粘贴到最后,点击“Save”保存

  • 注意找到这行,将SERVER修改为自己的主机名(在安装Centos7时输入的)否则运行Calibre会出错
  • 如果不记得主机名了,可以输入命令:hostname   ,查一下

#   ###############################################################################################################

环境变量的设置往往是大坑!!!!!!直接复制粘贴别人写好的,由于路径、名字不一样很可能会出现不适用的情况,然而仔细看的话还是能猜的出大概意思,主要是设置路径等变量,很多时候可以根据情况自行修改。(如果是按照上述步骤安装,环境变量路径基本没问题。)

################################################################################################################

  • 另外关于环境变量的配置:(这里先简单讲一下,后面再给大家讲清楚怎么改)
  1. 有的是将.bashrc放在工程目录下,运行前再加载,这样就不会影响系统其它软件的运行环境
  2. 有的是直接修改/etc/profile文件修改全局环境变量,任意用户登录时都会加载
  3. 我这里是写到指定用户的.bashrc文件下当登录时自动加载,也就是下面的Home里。

十四、集成Calibre开发环境

  • 下面我们需要检查我们的Calibre2015是否已经安装成功了,打开终端执行(有calibre窗口弹出说明安装成功,下面我们还要验证是不是在cadence里面集成了,请往下看):

calibre -gui

  • Calibre开发环境的集成需要在cdsinit中加入相关的代码,10.calibre_config文件夹下的calibre_config文件给出了需要复制的代码,我们只需要把解压出来的.cdsinit文件复制到与.bashrc文件在同一个文件夹下,我的是放在Home下面。然后我们就把calibre集成到cadence里面了,如图下方的第二张。

十五、运行Virtuoso

  • 在完成了上面的步骤时,先将虚拟机重启一下(目的是让环境变量生效)
  • 在home目录中新建一个project目录用于存放工程文件,在目录中右键打开终端执行:

virtuoso &

  • 如果启动时若出现找不到libGLU.SO.1的问题,解决办法如下

如果出现错误:……error while loading shared libraries:libGLU.so.1:cannot open shared object file:No such file or directory,这个问题怀疑是缺少64或x86的库文件导致的,那么万里长征还差最后一步,在12.mesa-libGLU文件夹下有两个安装包可修复此问题,在此文件夹内右键打开终端:

  • (root权限下执行)

rpm -ivh mesa-libGLU-9.0.0-4.el7.i686.rpm

rpm -ivh mesa-libGLU-9.0.0-4.el7.x86_64.rpm

  • 安装完毕后,再尝试重新启动,顺利启动完成,虽然有错误提示但不影响使用。
  • 在上方的第十四步时我们已经确定calibre装好了,还不知道是否集成到cadence里面了,打开cadence,随便打开一个库的原理图或者版图就可以看到了,没装库的可以先看第十六步如何添加工艺库。

  • 如果打开virtuoso &,CIW窗口(就是那个小窗口)报错:

*Error* load: can't access file - "/opt/mentor/shared/pkgs/icv/tools/queryskl/calibre.skl"

  • (不要慌,虎摸你一下)上面的问题就是你的环境变量的路径没设置对,回去检查一下.bashrc文件中关于calibre的变量路径的设置(如下图)。

  • 如果打开virtuoso &,CIW窗口(就是那个小窗口)报错:

Can’t open Calibre menu creation output file /opt/mentor/Calibre2015/aoi_cal_2015.2_36.27/tmp/virtInp.22074.customMenu for writing

  • (不要慌,虎摸你一下)上面的问题就是你的权限没设置好,回去删掉那个报错的文件重新建一个一样的或者进入root模式右键改文件的属性---权限。

十六、添加工艺库

NCSU的CDK及FreePDK 工艺库(仅教学练习使用):NCSU CDK & FreePDK.rar_免费高速下载|百度网盘-分享无限制

将工艺库的文件夹通过共享文件夹Share拷贝到Centos7虚拟机上,打开virtuoso,进入Library Manager,选择Edit---Library Path---Edit---Add Library

  • ps:如果想扩充虚拟机的硬盘容量可参考此篇博客: 传送门

IC虚拟机获取https://download.csdn.net/download/qq_40987215/85222944

  • 179
    点赞
  • 804
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 125
    评论
安装Cadence IC617可以按照以下步骤进行: 1. 首先,下载Cadence IC617安装包,并确保包含了IC617 Hotfix。您可以在百度云上找到安装包的下载链接。 2. 解压下载的安装包,并找到安装脚本cadence_patch.sh。 3. 使用终端命令行进入解压后的安装包目录,并运行以下命令来给MMSIM151打补丁: ./cadence_patch.sh /opt/cadence/IC617 4. 等待补丁打完后,您可以开始安装MMSIM151。安装过程与IC617类似,选择05.MMSIM15.10.284_Hotfix所在的文件夹作为安装目录。 请注意,以上步骤仅供参考,具体安装过程可能会因为不同的操作系统和安装包版本而有所差异。建议您在安装前查看相应的文档或向Cadence官方支持寻求帮助,以确保正确安装和配置Cadence IC617。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [cadence IC617 base&Hotfix;安装包百度云下载](https://download.csdn.net/download/wtao_1994/10287363)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [Cadence IC设计环境搭建IC617+MMSIM151+Calibre2015)](https://blog.csdn.net/qq_40987215/article/details/104722352)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 125
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

蜻蜓队长c

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值