数字IC设计——FIFO的设计

fifo.v

module fifo(
input	 		 		rstn   ,
input	 				clk    ,
input	 		 		wr_en  ,
input	 		 		rd_en  ,
input	 	  [7:0]  wr_dat ,
output reg [7:0]  rd_dat ,
output		 	   empty  ,
output		 	   full	
);

reg [7:0] mem [0:255];

reg [8:0] wr_addr;
reg [8:0] rd_addr;

always @(posedge clk or negedge rstn)
begin
	if(!rstn)
		wr_addr <= 9'd0;
	else if(wr_en && ~full)
		wr_addr <= wr_addr + 9'd1;
end

always @(posedge clk or negedge rstn)
begin
	if(!rstn)
		rd_addr <= 9'd0;
	else if(rd_en && ~empty)
		rd_addr <= rd_addr + 9'd1;
end

assign full = (wr_addr[8]^rd_addr[8])&(wr_addr[7:0] == rd_addr[7:0]);
assign empty = (wr_addr==rd_addr);

integer i;

always @(posedge clk or negedge rstn)
begin
	if(!rstn)
	begin
		for(i=0;i<=255;i=i+1)
			 mem[i] <= 8'd0;
	end
	else if(wr_en && ~full)
			mem[wr_addr] <= wr_dat;
end

always @(posedge clk or negedge rstn)
begin
		if(!rstn)
			rd_dat <= 8'd0;
		else if(rd_en && ~empty)
			rd_dat <= mem[rd_addr];
end


endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

蜻蜓队长c

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值