IP的概念,IP的分类,IP复用技术

背景:IP产生的原因

随着集成电路的超深亚微米制造技术、设计技术的迅速发展,集成电路已经进入片上系统时代。所谓片上系统就是指在单个硅芯片上实现信号采集、转换、存储、处理和I/0等功能,或者说在单个硅芯片上集成了数字电路、模拟电路、信号采集和转换电路、存储器、微处理器MPU、微控制器MCU、数字信号处理器DSP等,实现一个系统的功能。片上系统能够在单个硅芯片上实现高层次的系统集成,但同时也对硅芯片的设计提出了巨大的挑战。因为当前的设计工具和设计方法不能完全胜任片上系统的设计。在芯片设计复杂度迅速增加的同时,熟练设计人员的增长很有限,而对设计周期的要求越来越高。

IP复用技术,是提高片上系统设计效率、缩短设计周期的一个关键。

IP复用技术的优点有三个:

  • 重复利用IP可以提高设计能力,节省设计人员,是填平集成电路的设计与制造之间鸿沟的最有效方法之一;
  • 能大大缩短上市周期;
  • 可以更好地利用现有的工艺技术,降低成本。

预计在未来几年内,50%以上的片上系统设计要不同程度地以可复用IP的内核为基础。

复用并不是一个崭新的概念。在软件设计领域,很早就使用可复用代码,如函数库等,来提高设计效率。近些年来,在硬件设计领域中采用IP复用技术取得了重大的突破。自动综合工具与硬件描述语言HDL (Hardware Description Language)一起将设计复用提升到更高的抽象级别,实现了设计复用的自动化,同时提高了设计的效率和质量。HDL能够实现设计的模块化、参数化,方便进行子模块的选择、加入和排列等操作。自动综合工具则根据具体应用优化设计并直接映射到相应的工艺库。通过上述手段,大大增强了IP的可复用性。硬件设计复用正逐渐采用软件方法,如编程、编译、库技术等,从硬件设计模式向软件设计模式转变。

IP的概念

IP(Intellectual Property)是具有知识产权的、已经设计好并经过验证的、可重复利用的集成电路模块。

IP的分类

根据设计层次的不同, IP分为软核、硬核和固核三种。

  • 软核为能综合的HDL描述。软核包括逻辑描述(RTL和门级Verilog HDL或VHDL代码)、器件内部连线表和可测性设计。软核可经用户修改,以实现所需要的电路系统设计。它主要用于接口、编码、译码、算法和信道加密等对速度性能要求范围较宽的复杂系统。软核的开发工作量相对低,因此一般开发成本较低,柔性大,如可增加特性或选择工艺并容易从一个工艺向另一个工艺转移,且性能可提高,但可预测性差。
  • 硬核为芯片版图。硬核的设计与工艺已完成而不能更改。用户得到的硬核仅是产品功能而不是产品设计,因此,硬核的设计与制造厂商能对它实行全权控制,它的知识产权的保护也较简单。常用的硬核有存储器、模拟器件和总线器件等。硬核的开发成本最高,柔性小,但性能一定并具有可预测性,易于使用。
  • 固核为门级HDL描述。固核是一种介于软核与硬核之间的IP。它既不独立,也不固定,可根据用户要求作部分修改。固核允许用户重新定义关键的性能参数,内部连线表有的可以重新优化,其使用流程同软核。如果内部连线表不能优化时,使用流程与硬核相同。固核介于硬核与软核之间。

较典型的IP有: MPEG核(图像市场)、存储器核、SPARC(RISC微处理器)核等等。

IP复用技术

IP复用技术,即重复使用已经设计并验证过的集成电路IC模块,是提高片上系统设计效率、缩短设计周期的一个关键。

备注知识

HDL (Hardware Description Language)

Verilog HDL

VHSIC (very-high-speed integrated circuits)

VHDL (VHSIC Hardware Description Language)区别于Verilog是另一套语言,好像基本没人用了

参考文献

什么是ip复用技术 - CSDN

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值