Verilog频率计的设计与仿真

本文介绍了使用Verilog设计与仿真的频率计方法。通过源码展示了一个能够测量1kHz到100MHz频率的计数器模块,并提供了测试激励,包括两种不同频率的测试结果。
摘要由CSDN通过智能技术生成

1k~100M频率计的设计与仿真
源码
`timescale 1ns / 1ps

module fre_1(
input clk_low,
input clk_test,
input clk_high,
input rst1_n,
input rst2_n,
input rst3_n,
output [31:0]out
);
reg [31:0]cout1;
reg [31:0]cout2;
reg [31:0]C1;
reg [31:0]C2;
reg flag;
reg [31:0]out;
wire [31:0]out_buff;
assign out_buff=(C1>C2)?(C12000):(50000000/C2);
always @(posedge clk_test or posedge rst1_n)
begin
  if(rst1_n1)
    begin cout1<=0;C1<=1;end
  else if(clk_low
0)
    begin
      if(cout1<=C1)
        begin cout1<=0; end
      else C1<=cout1;
    end
  else
    begin
      if(cout1!=0)
       begin cout1<=0;end
    C1<=C1;
    cout1<=cout1+1;
    end
end
a

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值