Verilog 中 function和task****

task 和 function 说明语句分别用来定义任务和函数,利用任务和函数可以把函数模块分成许多小的任务和函数便于理解和调试。任务和函数往往还是大的程序模块在不同地点多次用到的相同的程序段。输入、输出和总线信号的数据可以传入、传出任务和函数。

task 和 function 的不同:

  • 1)函数只能与主模块共用同一个仿真的时间单位,而任务可以自己定义自己的仿真时间单位。
  • 2)函数不能启动任务,但是可以调用其它函数,但是任务可以调用其他函数和任务;
  • 3)函数至少要有一个输入变量,而任务可以没有或者有多个任何类型的变量。
  • 4)函数返回一个值,而任务不返回任何值。

函数的目的值通过一个返回值对输入的信号进行响应。而任务可以支持多种目的,能计算多个结果值,这些值只能通过任务的输出端口或者总线端口输出。

function

函数的目的是返回一个用于表达式的值。定义函数的语法:

    function <返回值的类型或范围>函数名;

         <端口说明语句>

         <变量类型说明语句>

       begin

         <语句>

         ...

        end

    endfunction

在这里,<返回值的类型或范围>可以不定义,如果默认则代表一位寄存器类型数据。

  • 1)函数返回的值:函数的定义蕴含声明了一个与函数同名的,函数内部的寄存器,其位数与定义的相同;
  • 2)函数的调用:函数的调用是通过将函数作为表达式中的操作数来实现的。例:word=control ? {getbyte(msbyte),getbyte(lsbyte)} : 0;
  • 3)函数的使用规则:
    a)函数的定义不能包含任何的时间控制语句,及任何用# ,@,或wait来标识的语句。
    b)函数不能启动任务,定义函数至少输入一个输入参量;
    c)函数的定义中必须有一条赋值语句给函数中的一个内部变量赋以函数的值,该内部变量具有和函数名一样的名字。
    d)函数的输入变脸不能像模块的端口那样列在函数名后面的括弧内,在声明输入时把这些输入端口列出即可。函数不能被禁用。

定义函数实例:

(1)

    function  AND; 
    //定义输入变量 
      input A, B; 
    //定义函数体 
      begin 
       AND = A  && B; 
      end 
    endfunction

(2)

    module parity;
      reg [31:0]sddr;
      reg parity;
      initial
      begin
        addr=32'b3456_789a;
        #10  addr=32'bc4c6_78ff;
        #10  addr=32'bff56_ff9a;
        #10  addr=32'b3faa_aaaa;
      end
   
    //每当地址未发生变化,重新计算偶校验位
    always @(addr)  begin
      parity=cal_parity(addr);
      $display("parity calculated = %b",cal_paraty(addr));
    end
    //定义偶检验函数
    function cal_parity;
      input [31:0]address;
      begin
        cal_parity=^address;
      end
    endfunction
    endmodule
     
    偶校验位函数

调用函数的语句形式如下:

func_id(expr1, expr2, …, exprN)
其中,func_id 是要调用的函数名,expr1, expr2, …exprN是传递给函数的输入参数列表,该输入参数列表的顺序必须与函数定义时声明其输入的顺序相同。下面给出一个函数调用实例。

    module comb15 (A, B, CIN, S, COUT); 
     
      input [3:0] A, B; 
      input CIN; 
      output [3:0] S; 
      output COUT; 
     
      wire [1:0] S0, S1, S2, S3; 
     
    function signed [1:0] ADD; 
      input A, B, CIN;
      reg S, COUT;      
      begin 
        S = A ^ B ^ CIN; 
        COUT = (A&B) | (A&CIN) | (B&CIN); 
        ADD = {COUT, S}; 
      end 
    endfunction 
     
    assign S0 = ADD (A[0], B[0], CIN), 
           S1 = ADD (A[1], B[1], S0[1]), 
           S2 = ADD (A[2], B[2], S1[1]), 
           S3 = ADD (A[3], B[3], S2[1]), 
            S = {S3[0], S2[0], S1[0], S0[0]}, 
         COUT = S3[1]; 
    endmodule

在函数调用中,有下列几点需要注意:

  • 函数调用可以在过程块中完成,也可以在 assign 这样的连续赋值语句中出现。
  • 函数调用语句不能单独作为一条语句出现,只能作为赋值语句的右端操作数

task

A) task说明语句

如果传给任务的变量和任务完成后接受结果的变量已经定义,就可以用一条语句启动任务,任务完成以后控制就传回启动过程。如果任务内部有定时设置,则启动的时间可以与控制返回的时间不同。

1)任务的定义;

task <任务名>;
  <端口及数据类型声明语句>
  <语句1>
  ...
  <语句n>
endtask

2)任务的调用以及变量的传递:

任务定义;

task  my_task;
  input a,b;
  inout c;
  output  d,e;
  ....                                 //执行任务的相应语句
  c=foo1;                         //对任务的变量赋初始值
  b=foo2;
  e=foo3;
endtask

任务的调用: my_task(v,w,x,y,z) 

任务调用变量(v,w,x,y,z)和任务定义的I/O变量(a,b,c,d,e)是一一对应的。任务启动时,v,w和x的值给了a b c,结束时c,d,e的值返回给x,y,z。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值