[FPGA][按键]独立按键驱动程序(消抖、触发信号)

代码

/*Copyright belong to afterdm@qq.com
 *Create data 2020/11/30
 *Last modified data 2020/12/5
 *Version v1.0.2.0
 *Descriptions button drive
 *Please delete within 24 hours without special circumstances
*/
module ButtonDrive(
	input				clk,
	input				rst,
	input		[3:0]	signal,
	output      [3:0]	flag,
	output	reg			align_clk
);
//define reg
reg drive_clk;
reg [15:0] drive_counter;

always @(posedge clk or negedge rst) begin
	if(rst == 1'b0) begin
		drive_clk <= 1'b0;
		align_clk <= 1'b0;
		drive_counter <= 16'b0;
	end
	else begin
		if(drive_counter == 16'd49_999) begin
            drive_counter <= 16'b0;
        end
        else begin
            drive_counter <= drive_counter + 1'b1;
        end
        if(drive_counter == 16'd24_999) begin
            drive_clk <= 1'b1;
        end
        else begin
            drive_clk <= 1'b0;
        end
        if(drive_counter == 16'd25_000) begin
            align_clk <= 1'b1;
        end
        else begin
            align_clk <= 1'b0;
        end
	end
end

ButtonModule b1(
	.clk	(drive_clk),
	.rst	(rst),
	.signal	(signal[0]),
	.flag	(flag[0])
);
ButtonModule b2(
	.clk	(drive_clk),
	.rst	(rst),
	.signal	(signal[1]),
	.flag	(flag[1])
);
ButtonModule b3(
	.clk	(drive_clk),
	.rst	(rst),
	.signal	(signal[2]),
	.flag	(flag[2])
);
ButtonModule b4(
	.clk	(drive_clk),
	.rst	(rst),
	.signal	(signal[3]),
	.flag	(flag[3])
);

endmodule

module ButtonModule (
	input				clk,
	input				rst,
	input				signal,
	output	reg			flag
);
//define reg
reg [3:0] keeptime;
reg [7:0] duration;

always @(posedge clk or negedge rst) begin
	if(rst == 1'b0) begin
		keeptime <= 4'b0;
		duration <= 8'b0;
	end
	else begin
		if(signal == 1'b0) begin
			if(keeptime == 4'd9) begin
				if(duration == 8'd249) begin
					duration <= 8'b0;
					flag <= 1'b1;
				end
				else begin
					duration <= duration + 1'b1;
					flag <= 1'b0;
				end
			end
			else begin
				keeptime <= keeptime + 1'b1;
			end
		end
		else begin
			flag <= 1'b0;
			keeptime <= 4'b0;
			duration <= 8'd249;
		end
	end
end

endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

永恒盛夏

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值