POD Subdivision of Kingdom状压+搜索

状压+搜索

传送门

https://www.vijos.org/d/newbzoj/p/590c9893d3d8a132109937a2
在这里插入图片描述

solution

  1. 因为n<=26,所以考虑状压+搜索
  2. 我们用两个int,它们的每一个数位分别表示在此集合里这个点有没有,1表示有,0表示没有
  3. 再预处理出每个数实际上在二进制中有多少1
  4. 然后进行搜索,总共C(26,13)种方案为10400600
  5. 我们在枚举把一个点i从a放到b时,只要在总边数加上i与b的连边减去i与a的连边即可
#include<iostream>
#include<cstdio>
#include<cstring>
#include<algorithm>
#include<queue>
#include<cmath>
#include<cstdlib>
#include<ctime>
using namespace std;
inline int read(){
	char ch=' ';int f=1;int x=0;
	while(ch<'0'||ch>'9'){if(ch=='-') f=-1;ch=getchar();}
	while(ch>='0'&&ch<='9')x=x*10+ch-'0',ch=getchar();
	return x*f;
}
const int N=30;
int e[N];int n;int cnt[20000];
int count(int x) { return cnt[x>>13]+cnt[x&((1<<13)-1)];}
int ans=0x3f3f3f3f;
int st=0;
void dfs(int now,int k,int tot,int se1,int se2)
{
	if(k==(n>>1))
	{
		if(tot<ans) ans=tot,st=se1;	
		return ;
	}
	for(int i=now;i<=n;i++)
		dfs(i+1,k+1,tot+count(e[i]&(se2^(1<<(i-1))))-count(e[i]&(se1)),(se1|(1<<(i-1))),(se2^(1<<(i-1))));
}
int main()
{
	int m;
	n=read();m=read();int nn=(1<<14)-1;
	for(int i=1;i<=nn;i++) cnt[i]=cnt[(i>>1)]+(i&1);
	for(int i=1,u,v;i<=m;i++)
		u=read(),v=read(),e[u]|=(1<<(v-1)),e[v]|=(1<<(u-1));
	dfs(1,0,0,0,(1<<n)-1);
	for(int i=1;i<=n;i++) 
		if(st&(1<<(i-1))) cout<<i<<' ';
	return 0;
}
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
module top_module; wsh wsh_inst(); efg efg_inst(); divider divider_inst(); assign divider_inst.dividend = wsh_inst.subdivision; assign divider_inst.divisor = efg_inst.count; endmodule module wsh (clk,rst_n,A,B,subdivision); input wire A,B; input clk; input rst_n; output reg [15:0] subdivision; reg [1:0] pre_state; reg [1:0] cur_state; always @(posedge clk or negedge rst_n) begin if(!rst_n) subdivision <=1'b0; else begin if (pre_state == 2'b00 && cur_state == 2'b01) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b01 && cur_state == 2'b11) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b11 && cur_state == 2'b10) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b10 && cur_state == 2'b00) subdivision <= subdivision + 1'b1; else if(pre_state == 2'b00 && cur_state == 2'b10) subdivision <= subdivision - 1'b1; else if(pre_state == 2'b10 && cur_state == 2'b11) subdivision <= subdivision - 1'b1; else if(pre_state == 2'b11 && cur_state == 2'b01) subdivision <= subdivision - 1'b1; else if(pre_state == 2'b01 && cur_state == 2'b00) subdivision <= subdivision - 1'b1; end end endmodule module efg (A,B,count,clk,rst_n); input wire A; input wire B; input clk; input rst_n; output reg [15:0] count; always @(posedge clk) begin if(!rst_n) count <= 0; else begin count <= count + 1; end end endmodule module divider(clk, subdivision, count, quotient); input clk; input [15:0] subdivision; input [15:0] count; output [15:0] quotient; reg [15:0] dividend; reg [15:0] divisor; reg [15:0] quotient; integer i; always @(posedge clk) begin dividend <= subdivision; divisor <= count; quotient <= 0; for (i = 0; i < 16; i = i + 1) begin dividend <= dividend - divisor; quotient <= {quotient[14:0], dividend[15]}; dividend <= dividend << 1; end end endmodule根据所给代码写一个testbench
05-12

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值