0510 spf文件调试过程

Dc命令

===========================================================

gui_start

analyze -library WORK -format verilog{/usr/synopsys/LAB/s27/syn/s27.v}

elaborate s27 -architecture verilog -library DEFAULT

create_clock blif_clk_net -period 100-waveform {0 50}

set_dft_signal -view existing_dft -typeScanClock -timing {45 55} -port blif_clk_net

set_dft_signal -view existing_dft -typeReset -active_state 1 -port blif_reset_net

set_ideal_network -no_pro {blif_clk_net}

set_scan_configuration -chain_count 10

set test_default_scan_style multiplexed_flip_flop

create_test_protocol -infer_async -infer_clock

dft_drc -verbose

compile -scan

report_constraint -all_violators

insert_dft

report_constraint -all_violators

dft_drc -verbose -coverage_estimate

write -format ddc -hierarchy -output s27.ddc

write -for

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值