Quartus II下载出现can‘t recognize silicon ID for device 1

其他问题如检查连接之类,网上和其他大神写得很全面,如果你验证过都不是这些导致的,再看我这个试试
附链接之一:《Error: Can’t recognize silicon ID for device 1》

检查EPSC是否正确
不正确就去file-convert programming file生成新的。
而一般情况当你用的EPSC是EPSC1时,会发现别的配置好的情况下会出现exceed memory错误,然后生成失败。
map file是否勾选随意
这个完全不影响
如果file-device-compress配置了
方法别的大神有发不详细明确记录:《FPGA配置模式(Altera版)》

其实还有一个compress你没有注意到
选中convert programming配置中的sof配置的sof文件,点选旁边属性(反正就最后一个按钮),把compress勾选了。
还不行
你去改逻辑吧,源文件简单了内存自然不会超了。
或者其他配置问题导致,欢迎补充。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值