(10)UVM 环境组件结构回顾

UVM 环境组件结构回顾

一、uvm_top

在这里插入图片描述

uvm_top是uvm_root类的唯一实例,即是UVM世界的"一"。

  • 它由UVM创建和管理。
  • 它所在的域是uvm_pkg。

uvm_top是所有test组件的顶层。

  • 所有验证环境中的组件在创建时都需要指明它的父一级。
  • 如果某些组件在创建时指定父一级的参数为"null",那么它将直接隶属于uvm_top。不过这么做存在风险,也并不推荐。

uvm_top提供一系列的方法来控制仿真,例如phase机制、object防止退出机制等。

二、uvm_test

  • “test”类是用户自定义类的顶层结构。
  • 所有的test类都应该继承于uvm_test,否则,uvm_top将不识别,“后果很严重”——无法启动test。
  • test的目标包括
  1. 提供不同的配置,包括环境结构配置、测试模式配置等,然后再创建验证环境。
  2. 例化测试序列,并且挂载(attach)到目标sequencer,使其命令driver发送激励。
    在这里插入图片描述
    不动产的环境变量组织是验证环境,验证环境的结构一般情况下是不做改动的。 测试序列,工厂覆盖指令,环境配置指令是变量的部分。

三、构建环境的主要组件

主要由三类UVM构建模块(基类)共同组成验证环境。

  • uvm_component
    1.继承于uvm_report_object(进一步继承于uvm_object),提供消息方法
    2.所有的验证环境组件均继承于uvm_component
    3.管理验证环境的层次
  • uvm_env
    1.继承于uvm_component
    2.没有额外的功能
    3.用来为验证环境提供一个容器(container)
  • uvm_test
    1.继承于uvm_test
    2.没有额外的功能
    3.用来提供对uvm_env的额外配置以及挂载激励

四、uvm_component

一个虚类(virtual class),所有环境组件均继承于该类。所有继承于该类的子类,我们称之为组件或者环境组件
该类提供以下接口或者API:

  • 结构,例如get_full_name(),get_parent(),get_num_children()。
  • 阶段(phase)机制,例如build_phase(),connect_phase(),run_phase()。
  • 配置(configuration)机制,例如print_config(),print_override_info()。
  • 报告(report)机制,例如report_hook(),set_report_verbosity_level_hier()。
  • 事务记录(transaction recording),例如record()。
  • 工厂(factory)机制,例如set_inst_override(),set_type_override()。
    虚类,意味你不能直接例化它,你例化它没有任何帮助,没有任何必要。

对于组件的构建函数,固定形式为:
function new(string name,uvm_component parent);

  • string name:用来声明当前例化组件的名称,用来自动和它所在的父一级层次组合为组件的整个层次名称,可以get_full_name()方法获取。
  • uvm_component parent:用来指示所例化的父一级句柄,通常用"this"来指代,即例化在当前的夫一级组件中。
  • 注意与uvm_object的构建函数new(string name)进行区分,由于uvm_object并不参与组件的层次构建,因此它只有一个形参,而没有uvm_component parent。
  • 凡是继承于uvm_component的组件,也应该保持同样的形式参数列表。

关注作者

  • 自述
    作者是一位中科大数字设计专业的研究生,水平有限,如有错误,请大家指正,想要与大家一同进步。
  • 经历
    曾获得国家奖学金,“高教社杯”数学建模国家二等奖等
  • 陆续更新:
    1.与UVM验证相关的system verilog后续内容;
    2.与verilog数字设计相关的一些基础模块设计,例如FIFO,UART,I2C等的书写。
    3.保研与竞赛经历等
  • 微信公众号
    欢迎大家关注公众号“数字IC小白的日常修炼”,期待与大家一同仗剑遨游数字IC世界。
  • 10
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

数字IC小白的日常修炼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值