Xilinx-Spartan6-学习笔记(23):IIC时序分析

Xilinx-Spartan6-学习笔记(23):IIC时序分析

仍然是基于黑金教程的基础上的个人分析。

1、IIC时序简介

对于IIC的时序来说,主要有接收和发送两种,同时每种又分为读写单个或多个字节的情况,4种时序可以用下图来表示:
在这里插入图片描述
(1)写单个存储字节:起始信号(主机发送)+设备地址(主机发送)+ 应答信号(从机发送)+ 字地址(主机发送)+应答信号(从机发送)+ 有效数据(主机发送)+ 应答信号(从机发送) + 停止信号(主机发送)
(2)写多个存储字节:同理,只是发送有效数据是多个字节隔开
(3)读单个存储字节:起始信号(主机发送)+设备地址(主机发送)+ 应答信号(从机发送)+ 字地址(主机发送)+应答信号(从机发送)+ 起始信号(主机发送)+设备地址(主机发送) + 有效数据(从机发送)+ 停止信号(主机发送)
(4)读多个存储字节:同理,只是读取有效数据是多个字节隔开

其中涉及到几个重要的标志信号(起始信号、应答信号和停止信号):

1、起始信号
在时钟线SCL保持高电平期间,数据线SDA上的电平被拉低(负跳变),定义为I2C总线的启动信号,它标志着一次数据传输的开始。
在这里插入图片描述

2、停止信号
在时钟线SCL保持高电平期间,数据线SDA被释放,使得SDA返回高电平(即正跳变),称为I2C总线的停止信号,它标志着一次数据传输的终止。
在这里插入图片描述

3、应答信号
地址的8位传送完毕后,成功配置地址的Slave设备必须发送“ACK”,即从机将SDA总线拉低。否则否则一定时间之后Master视为超时,将放弃数据传送,发送“Stop”。
在这里插入图片描述

数据位切换
在I2C总线上传送的每一位数据都有一个时钟脉冲相对应(或同步控制),即在SCL串行时钟的配合下,在SDA上逐位地串行传送每一位数据。进行数据传送时,在SCL呈现高电平期间,SDA上的电平必须保持稳定,低电平为数据0,高电平为数据1。只有在SCL为低电平期间,才允许SDA上的电平改变状态
在这里插入图片描述
总线空闲状态为SCL和SDA都保持高电平

2、代码分析

若想实现上述数据位切换的时序效果,就要设计好线性序列机,进行序列发送的逻辑设计的第一步就是对时间进行切片,即不同信号有不同的时序逻辑,那么就要通过最小的时间单位来控制各个信号。通过最小时间单元(系统时钟)和计数器即可完成这项任务。

以SDA和SCL信号为例,SCL的最稳定采样的位置就是在SDA的中央,那么通过下图的方法即可用cnt来进行时序的控制。
在这里插入图片描述
cnt每1个时钟周期累加一次,SDA变化一次需要200个时钟周期,sclk=50Mhz,那么IIC的速率为250Kbit/s。
在这里插入图片描述
2.1、eeprom.v

该文件的主要任务是控制eeprom的写和读,先将数据0x12写到地址0处,再将地址0处的数据读出来,相当于一个回环检测。

always @(posedge sclk or negedge rst_n)
	if(rst_n == 1'b0) begin
		i <= 4'd0;
		raddr <= 8'd0;
		rdata <= 8'd0;
		is_start <= 2'b00;
		rled <= 4'b0000;
	end
	else 
		case(i)
		0: begin
			if(done_sig) begin
				is_start <= 2'b00;
				i <= i + 1'b1;
			end
			else begin	//EEPROM写0x12到地址0
				is_start <= 2'b01;
				rdata <= 8'h12;
				raddr <= 8'd0;
			end
		end
		1: begin
			if(done_sig) begin
				is_start <= 2'b00;
				i <= i + 1'b1;
			end
			else begin //EEPROM读地址0
				is_start <= 2'b10;
				raddr <= 8'd0;
			end
		end
		2: begin
			rled <= rd_data[3:0];
		end
		endcase

2.2、ex_iic.v

该代码主要是对IIC的时序进行控制,利用start_sig来控制读或写。

//assign sda = is_out?rsda:1'bz;
assign sda = is_out?rsda:1'b0;

由于SDA数据线是inout型的一条双向线,那么就要利用代码对其方向进行控制,当is_out信号拉高时,sda线上的数据位rsda,否则为高阻态。即通过控制is_out信号的高低即可控制SDA数据线的方向,当is_out为高时,主机通过SDA向从机发送数据,当is_out为低时,从机向主机发送数据,这里将高阻态换为0是为了模拟从机向主机发送的停止位。

always @(posedge sclk or negedge rst_n)
	if(rst_n == 1'b0) begin
		i <= 5'd0;
		go <= 5'd0;
		c1 <= 9'd0;
		rdata <= 8'd0;
		rscl <= 1'b1;
		rsda <= 1'b1;
		is_ack <= 1'b1;
		is_done <= 1'b0;
		is_out <= 1'b1;
	end
	else if(start_sig[0])	//IIC数据写
		case(i)
		0: begin
			is_out <= 1;	//SDA端口输出
			if(c1 == 0) rscl <= 1'b1;
			else if(c1 == 200) rscl <= 1'b0;
			
			if(c1 == 0) rsda <= 1'b1;
			else if(c1 == 100) rsda <= 1'b0;
			
			if(c1 == 250-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else 
				c1 <= c1 + 1'b1;
		end
		
		1: begin	//写设备地址
			rdata <= {4'b1010,3'b000,1'b0};
			i <= 5'd7;
			go <= i + 1'b1;
		end
		
		2: begin	//写字地址
			rdata <= addr_sig;
			i <= 5'd7;
			go <= i + 1'b1;
		end
		
		3: begin	//写数据
			rdata <= wr_data;
			i <= 5'd7;
			go <= i + 1'b1;
		end
		
		4: begin	//停止位
			is_out <= 1'b1;
			
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;	//SCL先由低变高
			
			if(c1 == 0) rsda <= 1'b0;
			else if(c1 == 150) rsda <= 1'b1;//SDA由低变高
			
			if(c1 == 250-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		
		5: begin	//写IIC结束
			is_done <= 1'b1;
			i <= i + 1'b1;
		end
		
		6: begin
			is_done <= 1'b0;
			i <= 5'd0;
		end
		7,8,9,10,11,12,13,14: begin
			is_out <= 1'b1;
			rsda <= rdata[14-i];	//先发高位
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			else if(c1 == 150)	rscl <= 1'b0;
			
			if(c1 == f100k-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else
				c1 <= c1 + 1'b1;
		end
		15: begin	//等待应答
			is_out <= 1'b0;
			if(c1 == 100) is_ack <= sda;
			
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			else if(c1 == 150)	rscl <= 1'b0;
			
			if(c1 == f100k-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		16:	begin
			if(is_ack != 0) i <= 5'd0;
			else i <= go;
		end
		endcase
	
	else if(start_sig[1])  //IIC数据读
		case(i)
		0: begin
			is_out <= 1;	//SDA端口输出
			if(c1 == 0) rscl <= 1'b1;
			else if(c1 == 200) rscl <= 1'b0;	//SCL由高变低
			
			if(c1 == 0) rsda <= 1'b1;
			else if(c1 == 100) rsda <= 1'b0;	//SDA先由高变低
			
			if(c1 == 250-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		1: begin	//写设备地址
			rdata <= {4'b1010,3'b000,1'b0};
			i <= 5'd9;
			go <= i + 1'b1;
		end
		
		2: begin	//写字地址
			rdata <= addr_sig;
			i <= 5'd9;
			go <= i + 1'b1;
		end
		3: begin	//再次开始
			is_out <= 1'b1;
			
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			else if(c1 == 250) rscl <= 1'b0;
			
			if(c1 == 0) rsda <= 1'b0;
			else if(c1 == 50) rsda <= 1'b1;
			else if(c1 == 150) rsda <= 1'b0;
			
			if(c1 == 300-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		4: begin	//写设备地址(读)
			rdata <= {4'b1010,3'b000,1'b1};
			i <= 5'd9;
			go <= i + 1'b1;
		end
		5: begin	//读数据
			rdata <= 8'd0;
			i <= 5'd19;
			go <= i + 1'b1;
		end
		6: begin	//停止位
			is_out <= 1'b1;
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			
			if(c1 == 0) rsda <= 1'b0;
			else if(c1 == 150)	rsda <= 1'b1;
			
			if(c1 == 250-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		7: begin	//写IIC结束
			is_done <= 1'b1;
			i <= i + 1'b1;
		end
		8: begin	
			is_done <= 1'b0;
			i <= 5'd0;
		end
		9,10,11,12,13,14,15,16: begin
			is_out <= 1'b1;
			rsda <= rdata[16-i];
			
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			else if(c1 == 150) rscl <= 1'b0;
			
			if(c1 == f100k-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		17: begin		//等待应答信号
			is_out <= 1'b0;
			if(c1 == 100) is_ack <= sda;
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			else if(c1 == 150) rscl <= 1'b0;
			
			if(c1 == f100k-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		18: begin
			if(is_ack != 0) i <= 5'd0;
			else i <= go;
		end
		19,20,21,22,23,24,25,26: begin	//读数据
			is_out <= 1'b0;
			if(c1 == 100) rdata[26-i] <= sda;
			
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			else if(c1 == 150) rscl <= 1'b0;
			
			if(c1 == f100k-1) begin
				c1 <= 9'd0;
				i <= i + 1'b1;
			end
			else c1 <= c1 + 1'b1;
		end
		27: begin	//无应答
			is_out <= 1'b1;
			if(c1 == 0) rscl <= 1'b0;
			else if(c1 == 50) rscl <= 1'b1;
			else if(c1 == 150)	rscl <= 1'b0;
			
			if(c1 == f100k-1) begin
				c1 <= 9'd0;
				i <= go;
			end
			else c1 <= c1 + 1'b1;
		end
		endcase

(1)当start_sig为01时,IIC数据写入。
代码通过i来控制进行状态,通过c1来进行计数控制时序。
状态i=0是起始信号,i=1是设置写设备地址,i=2是设置写字地址,i=3是设置要写入的数据,i=4是停止信号,i=5是标志写IIC结束,i=6是将写结束信号清0,i=7i=14是依次写8位数据,i=15是等待应答。
在这里插入图片描述

那么整体过程为(以下直接用状态值代替):0完成起始信号,1将设备地址写入寄存器中,7到14将设备地址发送出去,15等待应答;2将写字地址写入寄存器中,7到14将写字地址发送出去,15等待应答;3将有效数据写入寄存器中,7到14将有效数据发送出去,15等待应答;4停止信号;5写IIC结束。

(2)当start_sig为10时,IIC数据读出。
代码通过i来控制进行状态,通过c1来进行计数控制时序。
状态i=0是起始信号,i=1是设置写设备地址,i=2是设置写字地址,i=3是第二个起始信号,i=4是设置读设备地址,i=5是跳转到读数据,i=6是停止信号,i=7是标志读IIC结束,i=8是将读结束信号清0,i=9i=16是依次写8位数据,i=17是等待应答,i=18是用来跳转的,i=19i=26是依次读8位数据,i=27表示没有应答。
在这里插入图片描述
那么整体过程为0-1-9-10-11-12-13-14-15-16-17-18-2-9-10-11-12-13-14-15-16-17-18-3-4-9-10-11-12-13-14-15-16-17-18-5-19-20-21-22-23-24-25-26-27-6-7-8。

(3)对于起始信号的控制

if(c1 == 0) rscl <= 1'b1;
else if(c1 == 200) rscl <= 1'b0;
	
if(c1 == 0) rsda <= 1'b1;
else if(c1 == 100) rsda <= 1'b0;

在这里插入图片描述
(4)对于结束信号的控制

if(c1 == 0) rscl <= 1'b0;
else if(c1 == 50) rscl <= 1'b1;	//SCL先由低变高

if(c1 == 0) rsda <= 1'b0;
else if(c1 == 150) rsda <= 1'b1;//SDA由低变高

在这里插入图片描述
(5)对于应答信号的控制

应答信号在发生完8位数据传输之后,由从机发给主机,因此在发送应答信号时is_out信号应该拉低。此时sda总线上为从机向主机发送的一个拉低信号。
在这里插入图片描述

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值