VHDL

本文详细介绍了VHDL中的数据类型,包括STANDARD库和IEEE库中的各种类型,如整数、实数、位、位向量、布尔、字符等。此外,还阐述了运算符的使用,如逻辑、关系、移位和算术运算符,并强调了运算符的优先级。数据对象部分讲解了常数、变量和信号的定义与赋值规则,以及它们在VHDL程序中的作用和区别。
摘要由CSDN通过智能技术生成

标记

  1. 标识符:有26个字母与数字以及下划线 _ 组成
    注:必须以字母打头;不能有两个连续的 _ ;最后一个字符不能是下划线;字母不区分大小写;最长32个字符
    如:
    正确: CLK NOT1_Q 错误 :3DA DB-A DB_
  2. 保留字:不能做标识符
    如:and in entity port process…
  3. 界符:语句以 ; 结束;注释用 - ;信号赋值用<= ; 变量赋值用 :=
  4. 注释符:-- 为便于理解和阅读程序而加的说明,以双减号开头,到行尾结束

数据类型

STANDARD库 标准库

  1. 整数(INTEGRATED)
  2. 实数(REAL)
  3. 位(BIT):“0”或“1”,可进行算术和逻辑运算;单引号
  4. 位向量(BIT_VECTOR):用“ ” ;位宽;排列顺序;双引号
    如:SIGNAL A :BIT_VECTOR(3 DOWN TO 0);A<=“1110”,A3=1,A2=1,A1=1,A0=0
  5. 布尔(BOOLEAN):TRUE和FALSE
  6. 字符(CHARACTOR):用 ’ ’ ,区分大小写
  7. 字符串(STRIN
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值