VHDL基本

VHDL程序的基本结构

一、VHDL概述

  1. HDL:超高速集成电路硬件描述语言
  2. 结构特点
    1.用于描述数字系统的结构、行为、功能和接口
    2.将设计实体分为内、外两部分:
    外部:端口,是可视部分;
    内部:设计实体的功能与算法,不可视部分;

二、VHDL基本结构
库、程序包
实体 entity
结构体 architecture -->进程 progress 或其他并行语句

库LIBRARY

库 包含:STD库(STANDARD库 标准库)/ IEEE库 / WORK库 (用户库)
库 :存放已编译的实体 / 结构体 /程序包 (用VHDL编写好的共享文件)

程序包

  1. 程序包 存放各设计模块均共享的数据类型 / 常数 / 子程序 等
  2. 程序包 定义了一组标准的数据类型说明、常量说明、元件说明、子程序说明和函数说明
  3. 使用程序包用USE语句

在这里插入图片描述

实体entity

  1. 实体:
    设计系统单元所需要的输入输出端口信息设计系统单元所需要的输入输出端口信息
    定义设计单元的I/O接口信号和引脚
  2. 语句格式:
    ENTITY 实体名称 IS
  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值