TCL和约束

约束

1、bit文件压缩

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]

2、Debug

set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
connect_debug_port dbg_hub/clk [get_nets clk_100M]    #(clk_100M: ila clock)

3、Clock

create_clock -name devclk -period 10 -waveform {2.5 5} [get_ports CLKIn]
create_clock -name rxclk -period 3.33 [get_pins gt0/RXOUTCLK]

set_input_delay -clock devclk 2 [get_ports DIN]
set_input_delay -clock devclk -max 4 [get_ports DIN]
set_input_delay -clock devclk -min 1 [get_ports DIN]

set_output_delay -clock devclk 2 [get_ports DIN]
set_output_delay -clock devclk -max 4 [get_ports DIN]
set_output_delay -clock devclk -min 1 [get_ports DIN]

4、DCI_CASCADE

set_property DCI_CASCADE {31 32} [get_iobanks 36]

5、Internal_vref

set_property INTERNAL_VREF 0.7 [get_iobanks 65]

6、CFGBVS电平约束

set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]

or

set_property CFGBVS GND [current_design]

TCL

1.软件线程控制

get_param  general.maxThreads
set_param general.maxThreads 8

2.复位工程

reset_project					:复位工程
reset_project -exclude_ips		:复位工程(不清理IP)

3、查看各模块资源利用度

report_utilization -hierarchical

4、查看工程主时钟

report_clock_networks -name mainclock
  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值