vivado纯verilog代码固化程序

将程序固化到flash中,该示范版本为2018.3

本次参考为EGO1开发板,flash模块如下:
在这里插入图片描述
步骤:

在生成bit流文件之后

第一步,打开Implemented Design
在这里插入图片描述
第二步,依次选择Tools——>Setting——>Bitstream,点击Configure additional bitstream settings。如果上一步Implemented Design没有打开,这里会显示需要打开。
在这里插入图片描述
这里面会有很多配置,具体情况可以具体分析,很多地方也可以直接使用默认设置,这里主要介绍下图配置:
在这里插入图片描述

  1. 下载速率可以更改为更快一点,但不要超过flash芯片的速度。
  2. Bus width为线宽,这里采用的是EGO1开发板,spi线一共四条,选择为x4,但是这里选择为2,可以兼容。(后期才发现是x4的)。

然后下面选择yes。配置完成之后点击OK。然后再次点击Generate Bitstream,提示保存,点击OK。

注意:这一步是要产生配置程序的约束,成功之后会在XDC文件里生成有关约束。保存之后需要再次生成新的bit流文件或者bin文件之类的。

第三步,Tools——>Generate Memory Configuration File,也可以打开hardware之后右键找到。

注:这一步是产生mcs文件进行程序加载,同时也会产生prm文件(也可以选择生成bin文件进行加载,固化的bin文件不能是生成bit时勾选的bin文件,而是这里生成)。

在这里插入图片描述
接下来配置如下:
在这里插入图片描述

  1. 生成文件格式( Format)选择“ MCS”
  2. 存储器大小( Custom Memory Size)参考程序大小,对于特定的FPGA,无论它本身功能实现所需的代码量有多少,它最终编译产生的比特流文件的大小都是固定的。(PS: 这里可以直接在上面选择器件,并且更方便)
  3. 定义一个MCS文件。(这里不是要你选择一个mcs文件,而是定义一个)
  4. 配置芯片的接口( Interface),这里为“ SPIx2”。
  5. Load bitstream files。
  6. (可选项)需要加载data时选择。

第四步, Add Configuration Memory Device。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
接下来,出现如下界面,选择flash芯片。
在这里插入图片描述
最后一步,在选择芯片之后会出现如下界面,也就是加载Flash,固化程序。
在这里插入图片描述
(图中配置视板卡情况和需要勾选,例如可以将state of non-config mem I/O pins设置成上拉)

点击ok后,就成功啦。


PS

zynq参考固化程序:链接: link.

  • 10
    点赞
  • 68
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: Vivado是Xilinx公司推出的一款基于FPGA器件的设计开发工具,其中包含System Verilog语言支持。System Verilog是一种基于Verilog的高级硬件描述语言,是IEEE标准的一部分。System Verilog支持面向对象编程思想和高级验证方法,可以大大提高设计的开发效率和可靠性。 在Vivado中使用System Verilog,可以在设计中使用高级特性,如多态和继承等,以更好地组织和维护设计代码。此外,System Verilog还提供了一系列高级验证函数和类,可以有效地进行设计验证和测试。 Vivado系统还提供了一套完整的开发工具和设计流程,可以帮助开发人员快速完成设计和仿真,以及进行综合和实现。总之,Vivado System Verilog提供了强大的设计和验证功能,是FPGA设计中无可替代的一部分。 ### 回答2: Vivado System Verilog 是一种硬件描述语言,可以用于设计和验证数字电路和系统。它是在 SystemVerilog 标准的基础上开发的,广泛应用于 FPGA 和 ASIC 的设计和仿真。Vivado 是一种集成开发环境 (IDE),可用于创建、调试和部署设计。Vivado System Verilog 的特点是具备高层次建模能力和强大的验证功能,包括时序仿真、行为仿真、代码覆盖率、函数覆盖率、分支覆盖率等。Vivado System Verilog 还支持多种验证方法,如模糊测试、约束随机测试、函数测试等,方便用户进行全面的验证和测试。在 FPGA 和 ASIC 的设计中,Vivado System Verilog 可以帮助设计师编写高效且可靠的代码,提高设计的质量和性能。 ### 回答3: Vivado System Verilog是一种硬件描述语言(HDL),它用于设计数字逻辑电路和系统。它是Vivado开发环境中支持的一种编程语言,它集成了Verilog和System Verilog的功能,具有更丰富的特性和更高的灵活性。 Vivado System Verilog可以在设计数字逻辑电路时实现更高级的功能和操作。例如,它提供了许多新的操作符和关键字,例如逻辑循环(logic loop)和连续赋值(continuous assignment),这可以简化代码的编写。此外,它还拥有更好且更可靠的类型系统,例如枚举、结构体和联合体等。这些类型可用于定义信号和端口,以及描述具体的结构和组织。 在Vivado System Verilog中,可以使用逻辑门、寄存器、时序逻辑、状态机和FPGA的高级功能等来进行电路设计。该语言还支持仿真和验证,可以使用Testbench测试电路以确保其正确性。 此外,使用一些高级技术,如异步复位、分层次设计(hierarchical design)、断言(assertions)和SystemVerilog任务(SystemVerilog Tasks),可以更好地进行电路设计,这些技术都是在Vivado System Verilog中实现的。 总之,Vivado System Verilog是一个用于数字逻辑设计的高级编程语言,提供了更好的功能和更高的灵活性。它可以让开发者更好地设计和测试电路,从而更加优化电路逻辑。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值