8位可控加减法电路设计——logisim

一、实验目的
学生掌握一位全加器的实现逻辑,掌握多位可控加减法电路的实现逻辑,熟悉 Logisim 平台基本功能,能在 logisim 中实现多位可控加减法电路。
二、实验内容
在 logisim 模拟器中打开 alu.circ 文件,在对应子电路中利用已经封装好的全加器设计 8 位串行可控加减法电路,用户可以直接使用在电路中使用对应的隧道标签,应该对加法减法过程中的有符号运算进行溢出判断,给出有符号溢出信号 OF ,和进位输出 Cout 。
三、电路设计图
在这里插入图片描述
四、运行结果
在这里插入图片描述
五、心得体会
刚开始logisim这个软件用的不是很熟悉,一头雾水。后来看了慕课,才学会用。用这个软件绘制电路图非常方便。通过这次实验,加深了对一位全加器的实现逻辑和多位可控加减法电路的实现逻辑的掌握。也更加熟练掌握了logisim平台基本功能。
六、logisim编译代码
需要可私信本人。

  • 19
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 7
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值