2021-08-16

Verilog 中wire与reg的区别与用法
wire的用法:
wire型数据常用来表示已assign关键字指定的组合逻辑信号。Verilog 程序模块中的输入、输出信号类型默认自动定义为wire型。wire型信号可以用做任何方程式的输入也可用作“assign”语句或实例元件的输出。例如wire a;//定义了一个1位的wire型数据;wire [7:0]b;//定义了一个8位的wire型数据;wire[7:0]a,b//定义了两个8位的wire数据。
reg的用法:
寄存器是数据单元的抽象。寄存器类型数据的关键字是reg。通过赋值可以改变寄存器存储的值。reg数据类型常用来表示“always”模块内的指定信号,常代表触发器。其使用格式与wire型数据雷同。例如:reg[3:0]a//定义了一个为a的4为reg型数据。
参考文章:wire 与reg 在verilog使用的异同https://blog.csdn.net/u012292754/article/details/72674776

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值