电信院 创新创业实践二 FPGA Verilog vivado 数码显示管 显示译码器

一、实验目的

用case语句设计一个显示译码器,并学习如何在EGO1实验板上使用7段数码管显示数字。

要求数码管显示一个数字为学号(如2016****100)最后一位(如0)。

二、实验仪器

计算机(安装Vivado 2018.1)、EGO1实验板

三、实验原理

   EGO1实验板卡上的8个数码管是7段共阴极数码管,当某段对应的引脚输出为高电平时,该段位的LED灯点亮。
 八段数码管的显示原理:
 每一段为一发光二极管,共有a-g以及小数点dp八个发光二极管。
将八个二极管的阴极并联到一起组成共阴极端,共阴极端接地。
当任一管脚输入高电平,对应的发光二极管就被点亮。

7段数码管示意图

四、真值表

真值表

五、实验代码

module dxp0(
    input wire [3:0] a,
    output reg [7:0] b
    );
  • 9
    点赞
  • 71
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值