FPGA入门学习笔记(十四)Vivado实现数码管段码显示以及动态扫描

硬件结构

在这里插入图片描述
在这里插入图片描述

软件架构

在这里插入图片描述

仿真波形

在这里插入图片描述

设计文件程序

在这里插入图片描述

`timescale 1ns/1ns
module hex8_2(
    Clk,
    Reset_N,
    Disp_Data,
    Sel,
    DisPlay
    );
    input Clk;
    input Reset_N;
    input [31:0]Disp_Data;
    output reg [7:0]Sel;
    output reg [7:0]DisPlay;
    
    reg clk_1k;
    reg [15:0]div_cnt;
    
    always@(posedge Clk or negedge Reset_N)
    if(!Reset_N)
        div_cnt <= 0;
    else if(div_cnt >= 49999)
        div_cnt <= 0;
    else
        div_cnt <= div_cnt + 1'd1;
    
    // clk_1k采用使能时钟,避免使用门控时钟
    always@(posedge Clk or negedge Reset_N)
    if(!Reset_N)
        clk_1k <= 0;
    else if(div_cnt == 49999)
        clk_1k <= 1'b1;
    else
        clk_1k <= 0;
    
    
    reg [2:0]Num;
    always@(posedge Clk or negedge Reset_N)
    if(!Reset_N)
        Num <= 0;
    else if(clk_1k)
        Num <= Num + 1'd1;
    
    // 3_8译码器
    always@(posedge Clk)
        case(Num)
            3'b000: Sel = 8'b0000_0001;
            3'b001: Sel = 8'b0000_0010;
            3'b010: Sel = 8'b0000_0100;
            3'b011: Sel = 8'b0000_1000;
            3'b100: Sel = 8'b0001_0000;
            3'b101: Sel = 8'b0010_0000;
            3'b110: Sel = 8'b0100_0000;
            3'b111: Sel = 8'b1000_0000;
        endcase
    
    // 8选1多路器
    reg [3:0]Disp_tmp;
    always@(posedge Clk)
        case(Num)
            3'b000: Disp_tmp = Disp_Data[3:0];
            3'b001: Disp_tmp = Disp_Data[7:4];
            3'b010: Disp_tmp = Disp_Data[11:8];
            3'b011: Disp_tmp = Disp_Data[15:12];
            3'b100: Disp_tmp = Disp_Data[19:16];
            3'b101: Disp_tmp = Disp_Data[23:20];
            3'b110: Disp_tmp = Disp_Data[27:24];
            3'b111: Disp_tmp = Disp_Data[31:28];
        endcase
    always@(posedge Clk)
        case(Disp_tmp)
            0:  DisPlay = 8'hc0;
            1:  DisPlay = 8'hf9;
            2:  DisPlay = 8'ha4;
            3:  DisPlay = 8'hb0;
            4:  DisPlay = 8'h99;
            5:  DisPlay = 8'h92;
            6:  DisPlay = 8'h82;
            7:  DisPlay = 8'hf8;
            8:  DisPlay = 8'h80;
            9:  DisPlay = 8'h90;
            10: DisPlay = 8'h88;
            11: DisPlay = 8'h83;
            12: DisPlay = 8'hc6;
            13: DisPlay = 8'ha1;
            14: DisPlay = 8'h86;
            15: DisPlay = 8'h8e;
        endcase  
endmodule
`timescale 1ns / 1ns

module hex8_test(
        Clk,
        Reset_N,
        Sel,
        DisPlay
    );
    input Clk;
    input Reset_N;
    output [7:0]Sel;
    output [7:0]DisPlay;
    wire [31:0]Disp_Data;
    
    hex8_2 hex8_2(
        Clk,
        Reset_N,
        Disp_Data,
        Sel,
        DisPlay
    );
    assign Disp_Data = 32'h13569bdf;
endmodule

仿真文件程序

`timescale 1ns / 1ns

module hex8_tb();
    reg Clk;
    reg Reset_N;
    reg [31:0]Disp_Data;
    wire [7:0]Sel;
    wire [7:0]DisPlay;
    hex8_2 hex8_2(
            Clk,
            Reset_N,
            Disp_Data,
            Sel,
            DisPlay
        );
    initial Clk = 0;
    always #10 Clk = !Clk;
    initial begin
        Reset_N <= 0;
        Disp_Data <= 32'h00000000;
        #201;
        Reset_N <= 1;
        Disp_Data <= 32'h12345678;
        #10000000;
        Disp_Data <= 32'h9abcdef0;
        #10000000;       
        $stop;
    end
endmodule
  • 5
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
数码管显示0-99, CESASSCS /*************************************************************************** 标题: 数码管显示 效果: 显示00-99,动态显示+变化显示 作者: 启程电子 ******************************************************************************/ ;脚功能定义 SMG_q EQU P3.4 ;定义数码管阳级控制脚,千位 SMG_b EQU P3.5 ;定义数码管阳级控制脚,百位 SMG_s EQU P3.7 ;定义数码管阳级控制脚,十位 SMG_g EQU P3.6 ;定义数码管阳级控制脚,个位 ;定义数据RAM空间 LDB EQU 36H ;定义一个变量存放显示值 ;数据存放 ORG 0100 TABLE: DB 0C0h,0F9H,0A4H,0b0H,99H,92H,82H,0F8H,80H,90H ;表:共阳数码管 0-9 ORG 0000H LJMP MAIN ORG 0200H MAIN: MOV DPTR,#TABLE M0: MOV LDB,#0 ;变量复值0 M1: MOV R7,#50 ;两级R7与R6组成12800次刷新,约1秒,如果要精确时间,可以用到定时器,请看例13 M2: MOV R6,#255 M3: CLR SMG_s ;选择十位数码管 MOV A,LDB ;将变量值除以10,商为十位值,余为个位 MOV B,#10 ; DIV AB ;相除后获得十位和个位的值存放A和B中 MOVC A,@A+DPTR ;查表输出定义好的数码管段值到P0口,显示相应的内容 MOV P0,A LCALL DELAY ;延时,停留一会,让人眼感触到它的亮度 MOV P0,#0FFH ;清除数码管显示,因是共阳型,所以不是0 SETB SMG_s ;关闭十位数码管 CLR SMG_g ;选择个位数码管 MOV A,B ;个位显示 MOVC A,@A+DPTR ;查表输出定义好的数码管段值到P0口,显示相应的内容 MOV P0,A LCALL DELAY ;延时,停留一会 MOV P0,#0FFH ;清除数码管显示 SETB SMG_g ;关闭个位数码管 M4: DJNZ R6,M3 DJNZ R7,M2 ;12800次刷新,约1秒 MOV A,LDB CJNE A,#99,M5 ;如果LDB变量值等于99就回到0开始,因为只有两位数码管,不能显示更多位 LJMP M0 M5: INC LDB LJMP M1 ; DELAY: MOV R5,#10 ;此次延时子程序不用很长时间 DJNZ R5,$ RET END
下面是使用Vivado 2018.3软件和Verilog HDL语言实现Basys3四位数码管动态显示的一个简单例子。 首先,在Vivado中创建一个新项目,添加Basys3开发板作为目标板卡,并设置好约束文件。 然后,我们需要创建一个Verilog模块,用于控制四位数码管动态显示。以下是一个示例代码: ```verilog module digit_display( input clk, // 时钟信号 input [3:0] data_in, // 输入数据,4位二进制数值 output reg [6:0] anodes, // 数码管阳极输出 output reg [6:0] segments // 数码管阴极输出 ); reg [25:0] counter; // 计数器,用于控制数码管动态显示 reg [3:0] digit; // 当前显示数码管编号 // 数码管显示控制 always @(posedge clk) begin counter <= counter + 1; if(counter == 250000) begin // 50Hz 的刷新频率 counter <= 0; digit <= digit + 1; if(digit == 4) begin digit <= 0; end end end // 数码管数据输出 always @(posedge clk) begin case(digit) 0: begin anodes <= 7'b1111110; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end 1: begin anodes <= 7'b1100111; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end 2: begin anodes <= 7'b1001111; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end 3: begin anodes <= 7'b1110011; segments <= { data_in[0] ? 7'b0000001 : 7'b1111110, data_in[1] ? 7'b0000001 : 7'b1111110, data_in[2] ? 7'b0000001 : 7'b1111110, data_in[3] ? 7'b0000001 : 7'b1111110 }; end endcase end endmodule ``` 在这个模块中,我们使用计数器和一个 `digit` 变量来控制四位数码管动态显示。每个数码管的数据由输入的 4 位二进制数值决定,通过 `case` 语句来选择要显示数码管。在每个时钟上升沿时,根据 `digit` 的值输出相应的阳极和阴极信号。 最后,将这个Verilog模块添加到Vivado项目中,并生成比特流文件,烧录到Basys3开发板上即可实现四位数码管动态显示

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值