显示译码器——Verilog HDL语言

任务描述

根据所学的组合逻辑及数字电路的知识完成一个16进制7段数码显示译码器的设计,验证满足一个16进制7段数码显示译码器的规则,根据逻辑真值表和逻辑表达式完成表决功能。熟悉Quartus II的Verilog HDL文本设计流程,掌握组合逻辑电路的设计仿真和硬件测试的方法。最后完善一个16进制7段数码显示译码器电路的功能描述风格Verilog HDL 代码。

相关知识

逻辑原理

7 段数码是纯组合电路,通常的小规模专用 IC,如 74 或 4000 系列的器件只能作十进制 BCD 码译码,然而数字系统中的数据处理和运算都是 2 进制的,所以输出表达都是 16 进制的,为了满足 16 进制数的译码显示。
7 段译码器的输出信号 LED7S 的 7 位分别接如下图所示数码管的 7 个段,高位在左,低位在右。例如当 LED7S输出为“1101101”时,数码管的 7 个段: g,f,e,d,c,b,a 分别接 1,1,0,1,1,0,1;接有高电平的段发亮,于是数码管显示“5”。注意,这里没有考虑表示小数点的发光管。
7 段数码显示译码器设计采用 case 语句对数码管的七个段分别进行赋值 0 或 1,实现数字的显示。

共阴数码管及其电路

在这里插入图片描述

编程要求

为了完成判断学生成绩等级的任务,完善编程模块设计代码,编写的程序要能根据不同的输入能够得到满足7段数码显示译码器显示输出。

源代码

测试平台:EduCoder

module decl7s_test(a,led7s);
      input [3:0] a;
      output [6:0] led7s;
      reg [6:0] led7s;
  always @(a)
// 请在下面添加代码,完成7段数码显示译码器显示
/* Begin */
    begin 
       case(a)
            4'b0000:led7s = 7'b0111111;
            4'b0001:led7s = 7'b0000110;
            4'b0010:led7s = 7'b1011011;
            4'b0011:led7s = 7'b1001111;
            4'b0100:led7s = 7'b1100110;
            4'b0101:led7s = 7'b1101101;
            4'b0110:led7s = 7'b1111101;
            4'b0111:led7s = 7'b0000111;
            4'b1000:led7s = 7'b1111111;
            4'b1001:led7s = 7'b1101111;
            4'b1010:led7s = 7'b1110111;
            4'b1011:led7s = 7'b1111100;
            4'b1100:led7s = 7'b0111001;
            4'b1101:led7s = 7'b1011110;
            4'b1110:led7s = 7'b1111001;
            4'b1111:led7s = 7'b1110001;
            default: led7s = 7'b0000000;
        endcase
    end 
/* End */
endmodule    
       

觉得有帮助的可以点个赞再走哦!!

  • 19
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
共阳数码管是一种常见的显示设备,由七个LED组成。为了控制数码显示不同的数字和字符,需要使用译码电路将输入的二进制信号转换为七共阳数码管的控制信号。 在Verilog HDL中实现七共阳数码管译码电路,可以按照以下步骤进行: 1. 定义输入和输出端口:根据数码管的位数确定输入的二进制信号位数,并将其定义为输入端口。然后定义七共阳数码管的七个控制信号,将其定义为输出端口。 2. 定义控制信号与输入信号的关系:根据真值表或逻辑表达式,确定每个输入二进制信号与七共阳数码管的控制信号之间的关系。 3. 编写逻辑电路代码:根据控制信号与输入信号的关系,使用Verilog HDL语言编写逻辑电路代码,实现译码功能。 4. 进行仿真测试:使用Verilog HDL的仿真工具,对译码电路进行仿真测试,验证其功能和正确性。 5. 进行综合与布局布线:根据目标芯片的规格和设计要求,对译码电路进行综合与布局布线,生成实际可用的电路。 6. 下载到目标芯片:将综合布局后的电路下载到目标芯片中,即可实现七共阳数码管的译码功能。 七共阳数码管译码电路的实现过程相对简单,但需要熟悉Verilog HDL语言和数字逻辑电路的知识。鉴于回答字数限制,以上是一个简要的回答,未能详细展开每个步骤。如有进一步疑问,请进一步咨询。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

MMagicLoren

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值