求助,用VHDL语言写的一个例化语句不对
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity mimasuo isport(CLK:in std_logic;n:in std_logic_vector(9 downto 0);set,check,close,back,ch:in std_logic;led:out std_logic;Digital0,Digital1
原创
2021-02-21 20:45:04 ·
269 阅读 ·
1 评论