什么是FPGA?FPGA简介

FPGA简介

FPGA(Field Programmable Gate Array,简称 FPGA),中文名:现场可编程门阵列,一种主要以数字电路为主的集成芯片,于 1985 年由 Xilinx 创始人之一 Ross Freeman 发明,属于可编程逻辑器件 PLD(Programmable Logic Device)的一种。

截图

FPGA:现场可编程门阵列。名字可以提现它的三个特点即:现场、可编程、门阵列。

现场:“现场”这个词指的是,FPGA 可以在使用时进行编程,而无须将芯片拆下并返回生产厂家完成编程。这个特点在现在看来有些理所当然,毕竟目前的大部分芯片都有着现场编程能力,而且这也并非FPGA 专有的特点。现场可编程这个特点的存在有着一定的历史原因,最开始芯片只能写入一遍后就不能重复写入了,只能进行读取操作,这样非常的麻烦,后来芯片可以重复写入了,但是在写入之前,都需要用紫外线灯照很久,很麻烦。之后更近一步,不需要紫外线等照了,随时可以重复写入了,这就是现场的意思。

可编程:一般来说,芯片的硬件逻辑在出厂后就已经固定下来了,即逻辑门的之间的电路关系就已经确定了。我们常见的 CPU,GPU 都是这种形式;然而 FPGA 中的逻辑门它是“自由的”,可以按照需要进行重新“排列组合”,因此我们把这种特性称为可编程。然而很多人可能会说,我天天在 CPU上写 Python/C 的程序,这个就是编程,为啥非要专门说 FPGA 具有可编程性?CPU 上的编程,本质上只能改变 CPU 状态寄存器的数值,不能改变 CPU 中的逻辑门单元之间的硬件逻辑。而 FPGA 是可以直接编程逻辑门单元之间的硬件逻辑,这就是 FPGA 可编程性的最通俗解释。

门阵列:其实很好理解,说的就是 FPGA 是由大量的“逻辑门”组成,最基本的逻辑门如我们熟悉的与门,或门,非门,异或门。FPGA 的逻辑门不在是传统的简单逻辑门构成,而是以查找表(Lookup-Table,LUT)的形式实现的。简单的来说,就是将某个简单逻辑功能的全部可能结果写到一个存储单元中,并根据输入的变化直接查找结果并输出。

FPGA的发展

FPGA 芯片由大量的逻辑门阵列组成,逻辑门是数字电路的基本组成单元。基于“布尔代数”对二进制数进行 0 和 1 操作,并完成不同的逻辑运算。所以,在介绍 FPGA 的发展之前,我们还是需要了解数字电路的发展。

数字集成电路的发展

在数字集成电路中,门电路是最基本的逻辑单元,用以实现最基本的逻辑运算(与、或、非)和复合逻辑运算(与非、异或等)。与上述逻辑运算相对应,常用的门电路有与门、或门、非门、与非门、异或门等,其电路符号如下图所示:

截图

在最初的数字逻辑电路中,每个门电路都是用若干个分立的半导体器件、电阻、电容连接而成的。1961 年,美国德州仪器公司(TI)率先将数字电路的元器件制作在同一片硅片上,制成了集成电路(Intergrated Circuits,IC),并迅速取代了分立器件电路。

自 20 世纪 60 年代以来,随着集成电路工艺水平的不断进步,集成电路的集成度也不断提高。数字集成电路经历了从小规模集成电路(Small Scale Integrated circuit,SSI),到中规模集成电路(Medium Scale Integrated circuit,MSI),再到大规模集成电路(Large Scale Integrated circuit,LSI),然后是超大规模集成电路(Very Large Scale Integrated circuit,VLSI),以及甚大规模集成电路(Ultra Large Scale Integrated circuit,ULSI)的发展过程。今天的技术已经可以把十分复杂的数字系统制作在一个很小的硅片上,构成“片上系统”(System on Chip,SoC)。

FPGA的由来

最早发明 FPGA 的是 Xilinx 公司(赛灵思,1984 年成立,现已被 AMD 公司收购),Xilinx 最早是由Zilog(齐格洛)公司离职的 Ross H. Freeman 和 Bernard V. Vonderschmitt 两人共同创办。Freeman 在 1985年制作了第一枚真正意义上的 FPGA 芯片 XC2064,该芯片采用了 4 输入、1 输出的 LUT 和 FF 相结合的基本逻辑单元。后来加入 Xilinx 的 William S. Carter 又发明了更高效的单元间连接方法。这两个人的发明 分别被称为 Freeman 专利和 Carter 专利,它们是 PLD 历史上最为有名的两个专利。

我们从逻辑功能的特点上将数字集成电路分类,可以分为通用型和专用型两类。前面介绍到的中、小规模集成电路(如 74 系列)都属于通用型数字集成电路。它们的逻辑功能都比较简单,而且是固定不变的。由于它们的这些功能在组成复杂数字系统时经常要用到,所以这些器件具有很强的通用性。

从理论上来讲,用这些通用型的中、小规模集成电路可以组成任何复杂的数字系统。随着集成电路的集成度越来越高,如果能把所设计的数字系统做成一片大规模集成电路,则不仅能减小电路的体积、重量和功耗,而且可以使电路的可靠性大为提高。像这种为某种专门用途而设计的集成电路称为专用集成电路,即所谓的 ASIC(Application Specific Integrated Circuit)。ASIC 的使用在生产、生活中非常普遍,比如手机、平板电脑中的主控芯片都属于专用集成电路。如华为的麒麟芯片:

截图

虽然 ASIC 有诸多优势,但是在用量不大的情况下,设计和制造这样的专用集成电路不仅成本很高,而且设计制造的周期也很长。可编程逻辑器件(Programmable Logic Device,PLD)的出现成功解决了这个矛盾。可编程逻辑器件 PLD 是作为一种通用器件生产,但它的逻辑功能是由用户通过对器件进行编程来设定的,而且有些 PLD 的集成度很高,足以满足一般数字系统设计的需要。这样就可以由设计人员自行编程从而将一个数字系统“集成”在一片 PLD 上,做成“片上系统”(System on Chip,SoC),而不必去请芯片制造厂商设计和制作专用集成电路芯片了。

通用型数字集成电路和专用集成电路内部的电路连接都是固定的,所以它们的逻辑功能也是固定不变的。可编程逻辑器件则不同,它们内部单元之间的连接是通过“写入”编程数据来确定的,写入不同的编程数据就可以得到不同的逻辑功能。自 20 世纪 70 年代以来,PLD 的研制和应用得到了迅速的发展,相继开发出了多种类型和型号的产品。PLD 的发展历程如下图所示:

截图

目前常见的 PLD 大体上可以分为 SPLD(simple PLD,简单 PLD)、CPLD(complex PLD,复杂PLD)和 FPGA(field-programmable gate array,现场可编程门阵列)。SPLD 中又可分为 PLA、PAL 和GAL 几种类型。FPGA 也是一种可编程逻辑器件,但由于在电路结构上与早期已经广为应用的 PLD 不同,所以采用 FPGA 这个名称,以示区别。

CPLD 相对于 SPLD 最大的优势就是拥有更大的逻辑资源和布线的可能性。CPLD 中 LAB 逻辑和 PIA是完全可编程的,使得它在单芯片中具有非凡的设计灵活性。CPLD 的 I/O 特性和功能也远比 SPLD 中简单的 I/O 更有价值。FPGA 是在 PAL、GAL 和 CPLD 等可编程逻辑器件的基础上进一步发展的产物,但是 FPGA 和其前辈CPLD 有着非常大的差异:

器件FPGACPLD
内部结构查找表(LUT)乘积项(PT)
资源类型触发器资源丰富组合逻辑资源丰富
特有资源RAM、PLL、DSP等——
运行速度
集成度
程序存储内部SRAM、外挂EEPROM或 FLASH存储程序内部EEPROM或FLASH存储程序
应用场合完成复杂算法完成控制逻辑
能否加密一般不能(可以使用加密核)可加密

FPGA产业现状

全球 FPGA 芯片的几大厂商,最著名的就是 Xilinx 和 Altera 两大巨头,紧排其后的就是 Lattice 公司。FPGA 产业很长一段时间都被国外巨头垄断,国产 FPGA 厂商很难生存,不过随着中美科技战开打之后,国产 FPGA 厂商也在迅速崛起,如紫光同创、广东高云、上海安路以及复旦微等,每家都有不错的 FPGA 产品推出。

在了解完 FPGA 主流厂商之后,我们来看下各大厂家的全球市场份额。目前全球 FPGA 芯片市场主要集中在赛灵思(Xilinx)、阿尔特拉(Altera)这两大国际大规模企业,市场份额分别为 49%、34%;莱迪思(Lattice)和美高森美(Microsemi)占比约为 7%和 6%;剩下的 4%左右则被其他 FPGA 厂商瓜分。不过随着 FPGA 国内厂家的迅速崛起,国内厂家在 FPGA 市场占有率也在逐渐提升。2020年全球FPGA市场占有率如下图:

截图

Xilinx 公司作为全球 FPGA 市场份额最大的公司,其发展动态往往也代表着整个 FPGA 行业的动态,Xilinx 每年的都会在赛灵思开发者大会(XDF)上发布和提供一些新技术,很多 FPGA 领域的最新概念和应用往往也都是由 Xilinx 公司率先提出并实践,其高端系列的 FPGA 产品几乎达到了垄断的地位,是目前当之无愧的 FPGA 业界老大。Xilinx 在 IC 领域也很出名,因为很多 IC 投片前 FPGA 验证都是使用 Xilinx 高端 FPGA。不过 AMD 在 2020 年以 498 亿美元完成了对 Xilinx 的收购,引起业界震惊。

截图

Altera 占全球 FPGA 市场份额第二,但在 2015 年英特尔(Intel)斥资 167 亿美元收购了 Altera 公司。自从被 Intel 收购以后,“Altera”这个品牌名就被雪藏了起来,但“Altera”的大名永远不会被历史遗忘。Intel 将 FPGA 做为自己的产业布局利器,打造属于自己的生态圈,在 FPGA 领域仍然占有很重要的地位。

截图

Lattice 公司以其低功耗产品著称,占全球 FPGA 市场份额第三,在小尺寸低功耗领域和 FPGA 桥接显示屏和图像传感器领域也有一些独特的优势。Lattice 在中国上海设有研发中心,为国内 FPGA 厂商培养了很多优秀的管理人员和技术人员,国内很多 FPGA 厂家都有 Lattice 公司背景。

截图

介绍完国外三巨头之后,我们再来看下国内 FPGA 厂商,国内 FPGA 厂商主要有 8 个厂家,分别是紫光同创、安路科技、高云半导体、上海复旦微电子、成都华微电子、智多晶、易灵思和京微齐力。

紫光同创

紫光同创是紫光集团旗下紫光国微的子公司,成立于 2013 年,总部位于深圳市,目前在北京、上海、成都均设有研发中心,员工人数超过 650 人。紫光同创是一家专业从事可编程逻辑器件(FPGA、CPLD 等)研发与生产销售,产品覆盖通信、工业控制、视频监控、消费电子、数据中心等应用领域。紫光同创的主要FPGA 产品有 Titan 系列、Logos 系列和 Compact 系列。Compact 系列则属于超低功耗的 CPLD 产品。其与 安路科技和高云半导体曾被台媒称为“国内 FPGA 三架马车”。目前紫光同创是国内 FPGA 厂商中发展最好的,2022 年营收超过 7 亿元。

代表产品:40nm Titan 系列 FPGA

截图

安路科技

上海安路信息科技股份有限公司成立于 2011 年,总部位于浦东新区张江高科技园区。安路科技主营FPGA 芯片及专用 EDA 软件,FPGA 芯片产品目前形成了以 SALPHOENIX®高性能产品系列、SALEAGLE®高性价比产品系列和 SALELF®低功耗产品系列组成的产品矩阵。在配套软件方面,安路科技有软件开发工具 Tang Dynasty(DT4.5)。公司产品广泛应用于工业控制、有线通信、消费电子、数据中心等领域。

代表产品:55nm SALEAGLE 系列

截图

高云半导体

高云 GOWIN 隶属于广东高云半导体科技股份有限公司,成立于 2014 年,专业从事现场可编程逻辑器件的研发,旨在推出具有核心自主知识产权的民族品牌 FPGA 芯片,提供集设计软件、IP 核、参照设计、开发板、定制服务等一体化完整解决方案的高科技企业。其配套的开发软件为高云云源软件。高云半导体以消费类电子、工业控制为市场切入点,以高性价比专攻中低密度 FPGA 市场,其产品可应用于通讯、LED 显示、工业控制、汽车电子、消费电子、人工智能和数据中心等市场。

代表产品:28nm GW3AT-100 FPGA

截图

复旦微电

上海复旦微电子集团股份有限公司,成立于 1998 年,是一家从事超大规模集成电路的设计、开发和提供系统解决方案的公司,于 2000 年在港交所上市。复旦微电子的 FPGA 芯片聚焦在 SRAM 型 FPGA,主要有三个产品类型:千万门级 FPGA 芯片、亿门级 FPGA 芯片以及嵌入式可编程器件 PSoC。在嵌入式可编程器件(PsoC)产品方面,复旦微的青龙系列正在进行样片测试,是国内首款推向市场的嵌入式可编程 PsoC 产 品,该产品采用 28nm 工艺制程,内嵌大容量自有 eFPGA 模块,并配置有 APU 和多个 AI 加速引擎,可广泛用于高速通信、信号处理、图像处理、工业控制等应用领域。

代表产品:28nm FMP100T8 型 FPGA

截图

成都华微科技

成都华微电子科技有限公司属于电子科技大学校企,成立于 2000 年,主要从事可编程逻辑器件、系统级芯片、存储器、AD/DA 芯片、电源管理等器件开发。

截图

智多晶

西安智多晶微电子有限公司,成立于 2012 年,总部位于西安,专注可编程逻辑电路器件技术的研发,并为系统制造商提供高集成度、高性价比的可编程逻辑器件、可编程逻辑器件 IP 核、相关软件设计工具以及系统解决方案。西安智多晶目前已实现 55nm、40nm 工艺中密度 FPGA 的量产。智多晶的芯片架构可以接受 ISE 和 Quartus II 的代码,使用自主研发的 FPGA 开发软件“HqFpga”,完成综合、布局布线、时序分析、配置编程和片内逻辑分析。

主要产品:55nm Sealion2000 系列 FPGA

截图

易灵思

易灵思(深圳)科技有限公司是国内第一家量产 16nm 的 FPGA 公司,总部位于深圳市前海深港合作区。基于自主开发的 Quantum 架构制造的 40nm Trion®FPGA 产品,已经全线量产,第二代 16nm 系列也已上市。在配套软件开发软件为 Efinity 系统,Efinity® IDE 提供了完整的“RTL 至比特流”流程。凭借一个简单易用的 GUI 界面和命令行脚本支持,提供了构建针对 Trion®FPGA 的设计所需要的工具。

代表产品:16nm 钛金系列 FPGA

截图

京微齐力

京微齐力脱胎于 2005 年成立的京微雅格,在 2017 年 6 月成立了“京微齐力(齐力科技)”,京微齐力获得了“京微雅格”上百件 FPGA 专利和专有技术(含国际专利)的授权及二次开发权,在原“京微雅格”产品基础上推出了包括 HME-R(河)系列、HME-M(山)系、HME-C(云)系列和 HME-P(星)系列产品。

代表产品:40nm HME-R(河)系列产品

截图

FPGA的技术优势

说到FPGA的技术优势,这里想与单片机进行比较,因为两者之间有一定的相似之处,比如都能实现串口通信、SPI通信等,下表对两者也进行一个比较:

芯片单片机(MCU)FPGA
类型ASIC(微处理器)半定制电路
结构哈弗结构/冯诺依曼结构查找表(LUT)
执行方式顺序执行并行执行
速度
灵活性
应用场景仪器仪表/家用电器/工业控制等通信领域/IC验证/视频图像处理等
开发语言C语言硬件描述语言(Verilog/HDL)
使用成本

虽然 FPGA 应用场景比单片机和 ARM 要少很多,但是有些需求比如高性能、低延时、快速并行运算、可重构、可实现定制性能和定制功耗,这些往往只有 FPGA 才能胜任。我们总结了 FPGA 的几个技术优点:

高性能:

FPGA 打破了 CPU 顺序执行的思路,变为硬件并行处理,在每个时钟周期内可以完成更多的任务。FPGA的行为是确定的,用作硬件加速器时没有 CPU 才有的时间片、线程或资源冲突的问题。它始终以完全相同的速度执行一件事。因此 FPGA 具有高性能的特点。下面我们以一个计算密集型任务(16 位整数乘法)为例,进行 CPU、GPU、FPGA、ASIC 的吞吐量比较。

体系结构吞吐量(int ops)延迟功耗灵活性
CPU~1TN/A~100W很高
GPU~10T~1ms~300W
FPGA(Stratix V)~1T~1us~30W
FPGA(Stratix 10)~10T~1us~30W
ASIC~10T~1us~30W

FPGA 比 CPU 无论在吞吐量还是功耗方面都有很大优势。

可重构性:

FPGA 可以被重新编程来实现不同的逻辑功能,这使得它们非常灵活,可以适应不同的应用需求。远比ASIC 芯片要灵活的多,因此一般在某些标准通信协议还不成熟的情况下,往往使用 FPGA 作为设计器件。

上市时间:

由于 FPGA 编程后既可直接作为产品使用,基于 FPGA 的方案无需等待三个月至一年的 ASIC 芯片流片周期,极大加速了企业产品上市时间。

实时性:

FPGA 可以实时处理输入数据,这使得它们在自动驾驶或者工业控制等需要低延迟或者实时响应的应用中具有很大的优势。

FPGA 的优势总结起来就说高性能、可重构、上市时间、实时性。看到这里,可能有些同学有疑问,难道 FPGA 就没有缺点吗?当然不是,任何一个东西都有优缺点,FPGA 也不例外,我们总结了 FPGA 的几个缺点如下:

开发门槛高:

由于 FPGA 需要进行硬件描述语言编程,因此需要具备 Verilog 硬件编程经验。相比之下,软件编程通常更容易学习和使用。

成本高:

FPGA 通常比单片机更昂贵。这是由于 FPGA 需要进行定制化设计和生产,并且需要更高级别的技术和设备。

控制类任务实现代价高:

由于 FPGA 是硬件可编程的,在某些情况下,FPGA 可能无法像单片机那样可以轻松完成一些控制任务。比如通过 SCCB 接口初始化配置 OV5640 摄像头的上百个寄存器,单片机就比 FPGA 好配置的多。

主频较低:

FPGA 由于硬件资源是固定的,布局布线性能上不如专用的 ASIC 芯片那样灵活,最高频率远不如 ASIC可以达到几个 GHz,专用芯片还是 ASIC 更好。

FPGA 扮演的角色

FPGA可以分为两个方向:

FPGA 软件方向:以软件开发为主,开发 FPGA 在数据分析、人工智能、机器视觉等领域的加速应用能力,主要采用 OpenCL 和 HLS 技术实现软硬件协同开发。

FPGA 硬件方向:以逻辑设计为主,针对 FPGA 特定领域的应用设计和集成电路设计,以及芯片验证能力。

FPGA 的应用领域

FPGA 最初的应用领域是通信领域,但是随着信息产业和微电子技术的发展,FPGA 技术已经成为信息产业最热门的技术之一,应用范围扩大,遍及航空航天、汽车、医疗、广播、测试测量、消费电子、工业控制等热门领域,而且随着工艺的发展和技术的进步,从各个角度开始渗透到生活当中。下面我们主要简单列举目前 FPGA 应用比较广泛的几个领域,只有清楚了这些应用领域,对我们来讲才有更多的发展空间。

通信领域

通信方向:通信领域是 FPGA 应用的传统领域,发展至今依然是 FPGA 应用和研究热点。FPGA 和其他 ASIC 芯片最大不同在于它的可编程特性。

FPGA 在通信领域的应用可以说是无所不能,得益于 FPGA 内部结构的特点,很大程度上取决于用户的设计能力。它可以很容易地实现分布式的算法结构,这一点对于实现无线通信中的高速数字信号处理十分有利。因为在无线通信系统中,许多功能模块通常都需要大量的滤波运算,而这些滤波函数往往需要大量的乘和累加操作。而通过 FPGA 来实现分布式的算术结构,就可以有效地实现这些乘和累加操作。尤其是 AMD 公司的 FPGA 内部集成了大量的适合通信领域的一些资源比如:基带处理(通道卡)、接口和连接功能以及 RF(射频卡)三大类:

(1)基带处理资源

基带处理主要包括信道编解码(LDPC、Turbo、卷积码以及 RS 码的编解码算法)和同步算法的实现(WCDMA 系统小区搜索等)。

(2)接口和连接资源

接口和连接功能主要包括无线基站对外的高速通信接口(PCI Express、以太网 MAC、高速 AD/DA 接口)以及内部相应的背板协议(OBSAI、 CPRI、EMIF、LinkPort)的实现。

(3)RF 应用资源

RF 应用主要包括调制/解调、上/下变频(WiMAX、WCDMA、TD-SCDMA 以及 CDMA2000 系统的单通道、多通道 DDC/DUC)、削峰(PC-CFR)以及预失真(Predistortion)等关键技术的实现。

数字信号处理领域

在数字信号处理领域 FPGA 同样所向披靡,主要是因为它的高速并行处理能力。FPGA 最大优势是其并行处理机制,即利用并行架构实现数字信号处理的功能。这一并行机制使得 FPGA 特别适合于完成 FIR等数字滤波这样重复性的数字信号处理任务,对于高速并行的数字信号处理任务来说,FPGA 性能远远超过通用 DSP 处理器的串行执行架构,还有就是它接口的电压和驱动能力都是可编程配置的不像传统的 DSP 需要受指令集控制,因为指令集的时钟周期的限制,不能处理太高速的信号,对于速率级为 Gbps 的 LVDS之类信号就难以涉及。所以在数字信号处理领域 FPGA 的应用也是十分广泛的。

数字信号处理方向:无线通信、软件无线电、高清影像编辑和处理等领域,对信号处理所需要的计算量提出了极高的要求。传统的解决方案一般是采用多片 DSP 并联构成多处理器系统来满足需求。但是多处理器系统带来的主要问题是设计复杂度和系统功耗都大幅度提升,系统稳定性受到影响。FPGA 支持并行计算,而且密度和性能都在不断提高,已经可以在很多领域替代传统的多 DSP 解决方案。例如,实现高清视频编码算法 H.264。采用 TI 公司 1GHz 主频的 DSP 芯片需要 4 颗芯片,而采用 Altera 的 StrtixIIEP2S130 芯片只需要一颗就可以完成相同的任务。 FPGA 的实现流程和 ASIC 芯片的前端设计相似,有利于导入芯片的后端设计。

视频图像处理领域

随着时代的变换,人们对图像的稳定性、清晰度、亮度和颜色的追求越来越高,像以前的标清(SD)慢慢演变成高清(HD),到现在人们更是追求蓝光品质的图像。这使得处理芯片需要实时处理的数据量越来越大,并且图像的压缩算法也是越来越复杂,使得单纯的使用 ASSP 或者 DSP 已经满足不了如此大的数据处理量了。这时 FPGA 的优势就凸显出来了,它可以更加高效的处理数据,所以在图像处理领域在综合考虑成本后,FPGA 也越来越受到市场的欢迎。

视频图像处理方向:视频图像处理至始至终都是多媒体领域最热门的技术,特别在不断追逐更高清、更真实图像的欲望驱使下,视频图像的处理数据量越来越大。用 FPGA 做图像处理最关键的一点优势就是:FPGA 能进行实时流水线运算,能达到最高的实时性。因此在一些对实时性要求非常高的应用领域,做图像处理基本只能用 FPGA。例如在一些分选设备中图像处理基本上用的都是 FPGA,因为在其中相机从看到物料图像到给出执行指令之间的延时大概只有几毫秒,这就要求图像处理速度极快且延时固定,只有 FPGA 进行的实时流水线运算才能满足这一要求。如今嵌入式视觉的概念很宽,包括图像处理(ISP),视频处理,视频分析等,这些功能都能在 FPGA 上面实现。在 ISP 方面,比如降噪、宽动态、去雾,3A等;在视频处理方面,比如缩放、去隔行、全景拼接、鱼眼矫正等;在视频分析方面,包括边缘,形状,纹理提取,物体检测、分类、背景建模等。产品例子包括全景相机、4K 智能相机、高清微投、大屏显示等。

高速接口设计领域

其实看了 FPGA 在通信领域和数字信号处理领域的表现,我想大家也已应该猜到了在高速接口设计领域,FPGA 必然也是有一席之地的。它的高速处理能力和多达成百上千个的 IO 决定了它在高速接口设计领域的独特优势。

比如说我需要和 PC 端做数据交互,将采集到的数据送给 PC 机处理,或者将处理后的结果传给 PC 机进行显示。PC 机与外部系统通信的接口比较丰富,如 ISA、PCI、PCI Express、PS/2、USB 等。传统的做法是对应的接口使用对应的接口芯片,例如 PCI 接口芯片,当我需要很多接口时我就需要多个这样的接口芯片,这无疑会使我们的硬件外设变得复杂,体积变得庞大,会很不方便,但是如果使用 FPGA 优势立马就出来了,因为不同的接口逻辑都可以在 FPGA 内部去实现,完全没必要那么多的接口芯片,在配合DDR 存储器的使用,将使我们接口数据的处理变得更加得心应手。

高速接口方向:FPGA 可以用来做高速信号处理,一般如果 AD 采样率高,数据速率高,这时就需要FPGA 对数据进行处理,比如对数据进行抽取滤波,降低数据速率,使信号容易处理、传输以及存储。在实际的产品设计中,很多情况下需要与 PC 机进行数据通信。比如,将采集到的数据送给 PC 机处理,或者将处理后的结果传给 PC 机进行显示等。PC 机与外部系统通信的接口比较丰富,如 ISA、PCI、PCIExpress、PS/2、 USB 等。传统的设计中往往需要专用的接口芯片,比如 PCI 接口芯片。如果需要的接口比较多,就需要较多的外围芯片,体积、功耗都比较大。采用 FPGA 的方案后,接口逻辑都可以在 FPGA 内部来实现了,大大简化了外围电路的设计。在现代电子产品设计中,存储器得到了广泛的应用,例如SDRAM、SRAM、Flash 等。这些存储器都有各自的特点和用途,合理地选择储存器类型可以实现产品的最佳性价比。由于 FPGA 的功能可以完全自己设计,因此可以实现各种存储接口的控制器。

IC 验证领域

FPGA 在数字 IC 领域中是必不可少的,已经被用于验证相对成熟的 RTL,IC 原型验证:FPGA 在数字 IC 领域中是必不可少的,已经被用于验证相对成熟的 RTL,因为相比用仿真器,或者加速器等来跑仿真,FPGA 的运行速度,更接近真实芯片,可以配合软件开发者来进行底层软件的开发。在纯硬件方面,由于 FPGA 供应商尽快转向最先进的制造工艺节点,FPGA 原型设计变得更加简单和强大。FPGA 验证在代码设计完成,功能验证以后。目的是保证设计的功能可以在 FPGA 上实现,也就是做硬件仿真。这样能进一步保证在 FPGA 上验证的结果和流片的结果相同,当然最后还牵涉到后端设计和工艺。如果做的 IC比较大,就需要裁减原来 IC 的功能再进行 FPGA 验证了。最终的目的也是为了保证芯片设计符合要求顺利流片。可以看到在芯片制造出来之前,很多精力会花费在 RTL 代码验证工作上,另外软件的相关开发工作,也会在得到芯片前开始,这两方面都需要借助 FPGA 原形来模拟芯片的行为,帮助硬件开发和软件开发者,共同提升工作效率。

人工智能领域

人工智能机器学习方向:近年来 FPGA 在人工智能机器学习中的应用越来越广泛,主要集中在前端和边缘侧。具体来讲,在 ADAS/自动驾驶上可以实现车辆、行人、车道、交通标志以及可行驶区域检测,可以做传感器融合;在智能安防上,可以实现车辆、车型、车牌、交通违规、车流量、人流量、人脸等检测;在无人机上,可以实现自动避障、自动跟随等功能;在医疗影像设备上,可以进行医疗图像的分析,帮助医生判断是不是可能有癌症或异常;在机器人上,可以实现增强学习,让机器人学习新的技能。就比如 Open AI 研发的聊天机器人程序 ChatGPT,做到了问世即巅峰。但 ChatGPT 的开发也离不开FPGA 的算力,ChatGPT 需要使用深度学习以及神经网络的算法,虽然目前这些的学习由于国外的种种因素对我们来说不是很有利,但是这个方向目前也是 FPGA 发展的一大主流。

  • 7
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值