自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(47)
  • 收藏
  • 关注

原创 AIXcoder eclipse 安装使用

【代码】AIXcoder eclipse 安装使用。

2024-06-07 17:08:42 406 1

原创 shell脚本编译成二进制可执行文件

编译后的 test.sh.x 文件和test.sh 在同级目录下。

2023-04-24 17:28:34 646

原创 vs code使用sitting

默认为8 太窄了文件层次不清晰。

2023-04-19 15:38:35 118

原创 java切换版本

【代码】java切换版本。

2023-02-18 22:03:46 176

原创 QT程序打包发布

QT程序打包发布程序编译cope 可执行程序打包发布程序编译使用release 编译程序cope 可执行程序cope .exe 和程序相关的配置文件至新的文件夹(为了去掉编译过程中产生的.o文件)打包运行如下程序输入:windeployqt ATEDataLink.exe打印消息如下:.exe相关目录下生成:发布压缩文件夹即可发布给其他人使用...

2022-01-25 16:03:03 325

原创 git 错误处理

1.git push 时报错:$ git pushfatal: unable to access 'https://github.com/aaaalready/code_home.git/': Failed to connect to github.com port 443: Timed out$ git pushfatal: unable to access 'https://github.com/xxx/autowrite.git/':Failed to connect to github.c

2022-01-14 13:59:54 486

原创 ASCII码表

转载自 http://www.51hei.com/mcu/4342.htmlASCII码大致由三部分组成:1、ASCII 打印字符:数字 32–126 分配给了能在键盘上找到的字符,当您查看或打印文档时就会出现。注:十进制32代表空格 ,十进制数字 127 代表 DELETE 命令。下面是ASCII码和相应数字的对照表2、ASCII 非打印控制字符:ASCII 表上的数字 0–31 分配给了控制字符,用于控制像打印机等一些外围设备。例如,12 代表换页/新页功能。此命令指示打印机跳到下一页的开头。

2021-12-17 11:18:29 151

原创 verilog 数字系统教程-5

序列检测器功能描述时序仿真综合功能对串行的输入数据流进行检测,只要发现10010码就会立即输出一个高位电平;描述时序仿真综合

2021-12-03 17:04:35 70

原创 verilog 数字系统教程-5

反馈振荡器建模如下:时序仿真:综合阻塞赋值与非阻塞赋值时序电路建模,使用非阻塞赋值锁存器电路建模时,用非阻塞赋值;用always块建立组合逻辑模型时,用阻塞赋值;在同一个always块中建立时序和组合逻辑电路时,用非阻塞赋值;在同一个always块中不要即用非阻塞赋值又用阻塞赋值;不要在一个always块中为同一个变量赋值;用$strobe系统任务来显示非阻塞赋值变量值;在赋值时不要使用#0延时;建模如下:时序仿真:综合...

2021-11-30 10:56:15 166

原创 verilog 数字系统教程-4

4位寄存器带清0端行为描述综合结果测试文件编写仿真波形行为描述综合结果测试文件编写仿真波形

2021-11-26 17:30:07 245

原创 verilog 数字系统教程-3

4 bit计数器模块描述时序验证综合模块描述时序验证综合

2021-11-26 14:28:19 148

原创 数字电路-逻辑式化简公式

2021-11-26 14:09:32 1150

原创 verilog 数字系统教程-2

verilog 语法与门电路的关系if-elsecasefor循环+if-elsecasefor循环+

2021-11-25 20:15:26 257

原创 verilog 数字系统教程-1

verilog 数字系统教程-14选1 选择器(带触发器)综合时序验证4选1 选择器(不带触发器)4选1 选择器(带触发器)综合verilog 带触发器综合 DCtcl 编写:运行:dc_shelldc_shell>source bulidingRun.tcl生成netlist如下:综合结果如下:时序验证运行shell编写提前安装 iverilog ,gtkwaveiverilog 编译verilog;gtkwave 显示波形4选1 选择器(不带触发器)

2021-11-25 17:56:32 138

原创 Design Compiler总结(Synopsys)

原文:https://www.jianshu.com/p/858079948adb作用:RTL ------- > 优化过的netlist(logic Circuit)。synthesis flow:synthesis = translation + logic optimization + gate mapping1.Translation:将RTL代码转化成GTECH库元件组成的逻辑电路。2.gate mapping:将GTECH库元件组成的逻辑图转换到目标工艺库上,形成.dcc文件。

2021-11-24 18:38:21 1407

原创 python 打包工具Pyinstaller -F -w main.py

安装:pip install pyinstaller命令:Pyinstaller -F -w main.py

2021-11-19 11:09:24 1606

原创 Linux常用命令(持续更新)

Linux常用命令(持续更新)命令行打开文件夹管理视图命令行打开文件夹管理视图 //打开当前路径文件夹视图 kfmclient openURL ./如下:

2021-10-11 10:02:49 97

原创 QT 产生随机数

QT 产生随机数QVector<float> qrands(long number,int range);/*随机数全为正数long number 数量;int range 产生随机数的范围*/QVector<float> qrands(long number,int range){ QVector<float> data; srand(QTime(0,0,0).msecsTo(QTime::currentTime()));//随机数种子

2021-09-29 16:03:02 424

原创 Linux sftp使用(持续更新)

Linux sftp使用连接命令文件传输连接sftp user_name@remote_server_address命令在 SFTP 解释器中可以使用 help 命令来查看命令的帮助文档。文件传输//使用 get 命令可以从远程服务器拉取文件到本地:sftp> get remoteFile [newName] //如果不指定 newName,将使用和远程服务器相同的文件名。sftp> get -r remoteDirectory //使用 -r 参数可以拉取整个目录:/

2021-09-27 16:22:35 386

原创 redhat 5 查看ip&修改IP

redhat 5 查看ip方法一二级目录三级目录方法一查看cat /etc/sysconfig/network-scripts/ifcfg-eth0修改修改/etc/sysconfig/network-scripts/ifcfg-eth0然后重启服务service network restart生效,或者/etc/init.d/network restart生效二级目录三级目录...

2021-09-26 14:32:48 1327

原创 shell 常用函数(持续更新)

shell 常用函数(持续更新)shell 判断字符串包含方法一方法二方法三shell 判断字符串包含方法一strA="helloworld"strB="low"if [[ $strA =~ $strB ]]then echo "包含"else echo "不包含"fi方法二A="helloworld"B="low"if [[ $A == *$B* ]]then echo "包含"else echo "不包含"fi方法三strA="lon

2021-09-24 10:45:40 138

原创 QT Qwt配置和使用

QT Qwt配置和使用Qwt介绍下载地址配置方法下载后解压如下打开项目编译配置qt designer新建项目Qwt介绍QWT, Qt Widgets for Technical Applications,是一个基于LGPL版权协议的开源项目,可生成各种统计图。它为具有技术专业背景的程序提供GUI组件和一组实用类,其目标是以基于2D方式的窗体部件来显示数据,数据源以数值,数组或一组浮点数等方式提供, 输出方式可以是Curves(曲线),Slider(滚动条),Dials(圆盘),Compasses(仪表盘

2021-09-13 17:01:25 1043

原创 QT安装配置

下载:http://mirrors.sjtug.sjtu.edu.cn/qt/archive/qt/

2021-09-07 10:59:53 86

原创 c++ 执行shell命令,并返回结果

c++ 执行shell命令,并返回结果源码使用源码使用返回数据类型是map<执行状态,执行结果>

2021-09-01 13:11:02 436

原创 Vim使用常用命令(持续更新)

Vim使用常用命令g+f 根据路径跳转文件ctrl+^返回打开的源文件g+f 根据路径跳转文件ctrl+^返回打开的源文件

2021-08-30 17:01:27 161

原创 autocutsel完成windows和树莓派之间copy

autocutsel完成windows和树莓派之间copyautocutsel 安装autocutsel 使用autocutsel 安装sudo apt-get install autocutselautocutsel 使用autocutsel -f

2021-08-28 17:01:06 917

原创 树莓派pip安装 django

树莓派pip安装 django错误更换pip源不更换源安装错误Exception: Traceback (most recent call last): File "/usr/share/python-wheels/urllib3-1.19.1-py…更换pip源国内的几个源:阿里云 http://mirrors.aliyun.com/pypi/simple/中国科技大学 https://pypi.mirrors.ustc.edu.cn/simple/豆瓣(douban) http://p

2021-08-24 21:26:51 115

原创 c++ Makefile的编写

c++ Makefile的编写linux环境准备示例的目录结构示例文件内容makefile 编写执行make运行程序linux环境准备初学者推荐:ubuntu/redhat,有图形化界面易操作示例的目录结构bin 编译出的可执行文件include 头文件lib 静态库src cpp文件tmp 临时文件示例文件内容makefile 编写执行make运行程序...

2021-08-17 17:52:34 290

原创 c++字符串分割

源码奉上:void splitStr(const string& origStr, const char sepCh, vector<string>& array, const bool bSupportBracket = false);void splitStr(const string& origStr, const char sepCh, vector<string>& array, const bool bSupportBracket){

2021-08-06 16:39:33 72

原创 Linux verilog编译/综合/test bench

Linux verilog编译/综合/test bench所需软件实例led_demo.vled_demo_tb.vbulidingRun.shrun bulidingRun.sh所需软件iveriloggtkwave实例led_demo.vmodule led_demo( input clk, input rst_n, output reg led);reg [7:0] cnt;always @ (posedge clk)begin if(!rst

2021-08-03 17:23:20 537

原创 python UT验证/调试工具 icecream

python UT验证/调试工具 icecream1.icecream 安装2.使用方法1.icecream 安装pip install icecream2.使用方法from icecream import icic.disable()ic.enable() # 输入使能# ic.configureOutput(includeContext=True) #详细信息def sumSquare(a, b): return a * a + b * b+a/bif __name

2021-08-02 14:36:33 328

原创 windows c/cpp运行环境配置

windows c/cpp运行环境配置1.下载mingw-w64 如下链接:2.安装mingw-w643.环境变量配置4.重启电脑1.下载mingw-w64 如下链接:http://mingw-w64.org/doku.php/download/mingw-builds2.安装mingw-w64安装路径选择3.环境变量配置![在这里插入图片描述](https://img-blog.csdnimg.cn/bdd610161b0f49b49a36f23e1ed9f4e1.png?x-os

2021-08-02 10:57:53 959

原创 【django】报错Operations to perform: Apply all migrations: admin, app, auth, contenttypes,

解决步骤:1,项目底下删除初始牵引文件init.py2,进入数据库,找到django_migrations的表,删除该app名字的所有记录。即对应的牵引文件3,再执行python manage.py makemigrations4,再执行python manage.py migtate5,再执行python manage.py migrate --fake...

2021-06-28 16:49:24 2123 1

原创 mySql使用

mySql使用安装使用win10三级目录安装https://dev.mysql.com/downloads/mysql/->注册Oracle账号->下载->解压->配置环境变量将bin目录配置到环境变量中,重启;使用win10-> 打开cmd(管理员权限)-> 输入mysqld --initialize --console这个就是初始密码->登录:输入初始密码->修改密码mysqladmin -uroot -pjdEOQ

2021-06-17 10:35:03 57

原创 python django 环境搭建

1.pip 安装 django;使用命令 创建项目 python manage.py startapp [app的name]:3.使用命令运行服务器:python manage.py runserver4.访问 网址

2021-06-10 17:07:25 201 2

原创 c++ 模板

.h 文件#ifndef COMMONFUNCTION_H#define COMMONFUNCTION_H#include <fstream.h>#include <iostream>#include <string>#include <ctime>using namespace std;extern const unsigned int SITE_NUMBER;/****************************SITE_INIT*

2021-06-10 15:25:49 64

原创 c++ 常用数据处理函数

.h 文件/* * commonfunction.h * * Created on: Sep 2, 2019 * Author: huanglei */#ifndef COMMONFUNCTION_H#define COMMONFUNCTION_Husing namespace std;long long String_Binary_to_Int(string String_Binary);string Int_to_String(int n);int charToIn

2021-06-10 15:20:11 159

原创 c++ code time代码时汇总

.h 文件/* * commonfunction.h * * Created on: Sep 2, 2019 * Author: huanglei */#ifndef COMMONFUNCTION_H#define COMMONFUNCTION_Husing namespace std;extern map<string, timespec> timerMap;void start(const string& name);double stop(

2021-06-10 15:06:57 304

原创 python 文件处理

文件的写入f = open(“文件路径”, 'w') #没有则会新建f.write(“写入内容”)f.close()f = open(“文件路径”, 'r') fileVal=f.read()f.close()文件和文件夹的新建和删除import shutilos.remove(“文件路径") #删除文件isExists = os.path.exists(”文件夹路径“)#创建文件夹 if not isExists: os.mkdir(”

2021-06-10 14:43:36 88

原创 python 的excel处理(xlwt+openpyxl+xlwings)

1.选择库

2021-06-10 14:23:38 792 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除