自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

qq_45049500的博客

路径规划小白,有不懂的问题都可以问

  • 博客(31)
  • 资源 (12)
  • 收藏
  • 关注

原创 知识学了会忘但是这个过程对你世界观的改变不会变

刚刚结束了微波与天线的考试,这个东西我们老师是个比较年轻的老师上课的讲课效果不是太好。我真的是每一节课都认认真真的学了。课下也复习了。我发现到最后基础的知识我掌握了,一些简单的题会做,但仅限于此了,有点失望,只能用这个标题来安慰安慰自己了。感觉自己真的是个废物。担任了挂科肯定是挂不了,成绩应该也是80分以上,就是觉得付出与回报不成正比了,我太急功近利了吧。...

2020-12-30 21:42:45 130

原创 网络协议作用

在计算机网络中一系列的通信规则称为网络协议,如数据的格式是怎样的,以什么样的控制信号联络,具体传送方式是什么,发送方怎样保证数据的完整性、正确性,接收方如何应答等等。这一系列工作就是网络协议需要完成的功能。常见的网络协议有IPX/SPX, TCP/IP等。为了进行网络中的数据交换而建立的规则、标准或约定称为网络协议。主要由语法、语义和同步(指事件实现中顺序的详细说明)。通信协议有层次特性,大多数 的网络组织都按层或级的方式来组织,在下一层的基础上建立上一层,每一层的目的都是向其上一层提供一定的服务,而把如

2020-12-24 20:04:13 2799 1

原创 高频调频电路软件仿真电路图

电路图给你了,懂得都懂哈哈!

2020-12-24 09:31:09 2081 1

原创 之前代码出现的错误总结(VHDL)

今天去做了FPGA的实验,把自己之前的代码打了上去当然发现了一些错误,快把我这个小白搞崩溃了,下面分享一下解决方案。其中第四个问题有点最麻烦,现在还没有解决.第一个问题关于信号赋初值的问题信号赋初值的语句为:SIGNAL X1:STD_LOGIC_VECTOR(0 to 9):“0000010100”;如果不这样赋初值的话会出现类型不匹配的问题。第二个问题我这个设计那个滑块的左移和右移是通过那个外部的按键控制的,然后我之前的代码里面写的按键检测方法是:IF(rising_edge(MD

2020-12-23 12:35:00 1660

原创 HTTP服务器配置

1. 回答问题:HTTP是一个什么协议?作用是什么?等会在更吧。。。睡会觉

2020-12-23 12:16:23 582

原创 FTP服务器配置

回答问题:FTP是一个什么协议?它的作用是什么?FTP(File Transfer Protocal),是文件传输du协议的简称。用于Internet上的控制文件的双向传输。同zhuan时,它也是一个应用程序(Application)。用户可以通过它把自己的PC机与世界各地所有运行FTP协议的服务器相连,访问服务器上的大量程序和信息。FTP的主要作用,就是让用户连接上一个远程计算机(这些计算机上运行着FTP服务器程序)察看远程计算机有哪些文件,然后把文件从远程计算机上拷到本地计算机,或把本地计算机.

2020-12-23 11:57:28 428

原创 最后一篇

这个设计已经做完了,我把代码啥的放到我的资源里面了,有需要的自己下载,VHDL的学习就这样吧,感觉自己学到了不少东西,加油各位通信人!各位有什么问题可以给我提问,我看到了并且有空的话会一一回复的,不要辜负了自己的大好时光,再见!...

2020-12-21 21:16:17 195

原创 局域网组建

(1)回答问题:要想为计算机分配IP地址,必须要安装什么协议?肯定是TCP/IP协议,安装及分配IP地址方法如下。按下面路径:控制面板=》网络和 Internet=》网络和共享中心=》更改适配器设置=》找到本地连接=》右键属性,然后1) 添加通信协议,添加TCP/IP2)设置IP地址在上上图(第一张图片)所示的对话框中选中“Internet协议TCP/IP”,单击“属性”按钮,在出现的“TCP/IP属性”对话框中,选择“IP地址”标签,选择其中的“指定IP地址”项,并在相应的输入框中输入IP地址

2020-12-21 17:51:57 967 1

原创 之前代码出现的错误总结

今天去做了FPGA的实验,把自己的代码打了上去当然????发现了一些错误,快把我这个小白搞崩溃了。第一个错误:关于信号赋初值的问题信号赋初值的语句为:X1:std_logic_

2020-12-19 19:04:03 282 1

原创 S71200西门子PLC一个设计的接线图

感觉好倒霉啊,PLC结课了开始做第一次实验程序编好了结果才发现我们的那套设备没有PLC,然后就自己找老师要了个PLC自己看电路接上了,效果还行能用,自己写了一个简单的轴控制程序,发现没有啥用,整体还行吧,慢慢做后续会更新自己的这个设计的心得体会,加油自己。下面是那个电路图记录一下。...

2020-12-18 21:46:23 2220 1

原创 复习时记:随机过程

1.定义任意n维分布都服从正态分布的随机过程称为高斯过程。2.重要性质(1)若高斯过程是广义平衡的,则也是狭义平稳的;(2)若高斯过程中的随机变量之间互不相关,则它们也是统计独立的;(3)若干个高斯过程之和的过程仍是高斯型;(4)高斯过程经过线性过线变换(或线性系统)后的过程仍是高斯型。3.一维概率密度和分布函数...

2020-12-16 17:16:11 405

原创 用有限状态机去理解这个逻辑过程

用那个有限状态机的知识去理解这个设计过程应该是最好的,我才疏学浅不知道怎么去表达,我写博文的初心也有锻炼自己表达能力的意思。下面就尽我最大努力去表达一下吧!

2020-12-15 20:03:04 262

原创 自由运动滑块和小球的碰撞检测

上面是我自己画的一个示意图,这个专栏前面的文章已经能够让能个红色物块物块运动以及反弹,下面的青色滑块也能够通过硬件电路上面的按键左右移动了,彩色的砖块也有了,接下来就该写自由运动滑块和小球的碰撞检测了。SIGNAL X1,Y1,X2,Y2,X3,Y3,X4,Y4:STD_LOGIC_VECTOR(0 to 9);SIGNAL X_shudu,Y_shudu:STD_LOGIC_VECTOR(0 to 9);SIGNAL X11,Y11,X21,Y21,X31,Y31,X41,Y41:STD_LOGI.

2020-12-15 14:55:26 613 3

原创 自由运动物体的运动代码

//I/O口以及其他信号啥的ARCHITECTURE ONE OF SPORTS ISSIGNAL HCNT:STD_LOGIC_VECTOR(0 to 9);SIGNAL VCNT:STD_LOGIC_VECTOR(0 to 9);SIGNAL X1,Y1,X2,Y2,X3,Y3,X4,Y4:STD_LOGIC_VECTOR(0 to 9);SIGNAL X_shudu,Y_shudu:STD_LOGIC_VECTOR(0 to 9);SIGNAL X11,Y11,X21,Y21,X31,Y3

2020-12-13 21:07:29 2250 4

原创 下方那个移动的滑块的设计

PROCESS(MDR,MDL) BEGIN--底部滑块超左极限位置的监测及处理和移动速度的设置IF(rising_edge(MDL))THEN IF(0<X1 and X2<640)THENX1<=X1-30;X2<=X2-30;X3<=X3-30;X4<=X4-30;ELSIF(X1<0 )THENX1<=0;Y1<=400;X2<=0;Y2<=460;X3<=30;Y3<=480;X4<=30;Y4

2020-12-13 20:38:31 217

原创 对于51单片机学习的思考

这是我大一上学期就开始自学的一个单片机,当时用的是巫妖王那个开发板,自己很快就上手了,但是我们开这个课是在大二下学期,当时都没有想到过会开这个课,唉!感觉这个东西上理论课是很没有意思的,最好应该边学习边做实验。我这个人咋说那,就是性格有那么一丝的偏执,可能就是网上说的血液里面有风的人吧!做什么事情都是想象力特别丰富,思维特别的发散,同时又特别的不爱于人交流。学任何东西最忌讳的就是闭门造车,要多与人交流,与人讨论的过程特别容易产生头脑风暴。这会极大的加深你对一个东西的认知以及记忆。就先聊这么多,后续会不断更新

2020-12-13 09:05:34 277

原创 FPGA乐曲演奏电路设计之music1

-- megafunction wizard: %ROM: 1-PORT%-- GENERATION: STANDARD-- VERSION: WM1.0-- MODULE: altsyncram -- ============================================================-- File Name: music1.vhd-- Megafunction Name(s):-- altsyncram---- Simulation Libr

2020-12-13 08:47:42 736

原创 pll1

-- megafunction wizard: %ALTPLL%-- GENERATION: STANDARD-- VERSION: WM1.0-- MODULE: altpll -- ============================================================-- File Name: pll1.vhd-- Megafunction Name(s):-- altpll---- Simulation Library Files(s):-

2020-12-12 19:27:50 451

原创 F_CODE解码器VHDL程序

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY F_CODE IS PORT(INX: IN STD_LOGIC_VECTOR (0 TO 3); CODE: OUT STD_LOGIC_VECTOR(0 TO 3); H:OUT STD_LOGIC; TO1:OUT STD_LOGIC_VECTOR(0 TO 10));END;ARCHITECTURE one OF F_CODE ISBEGIN

2020-12-12 19:24:09 668 1

原创 Decl7s

Library Ieee;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Decl7s ISPORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7s:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END;ARCHITECTURE one OF Decl7s ISBEGINPROCESS(A)BEGINCASE A ISWHEN “0000” => LED7s <=“0111111”;WHEN “

2020-12-12 18:46:34 518

原创 CNT138T

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT138T ISPORT(CLK:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(0 to 7));END CNT138T;ARCHITECTURE bhv OF CNT138T ISSIGNAL Q1:STD_LOGIC_VECTOR(0 TO 7);BEGINPROCESS(CLK) BEGIN

2020-12-12 18:44:52 162 1

原创 CNT10T

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10T ISPORT(CO1:IN STD_LOGIC;Q:OUT STD_LOGIC);END CNT10T;ARCHITECTURE bhv OF CNT10T ISSIGNAL Q1:STD_LOGIC_VECTOR(0 TO 3);BEGINPROCESS(CO1) BEGINIF CO1’EVENT AND C

2020-12-12 18:43:38 256

原创 CNT2T

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT2T ISPORT(CO3:IN STD_LOGIC;Q:OUT STD_LOGIC);END CNT2T;ARCHITECTURE bhv OF CNT2T ISSIGNAL Q1:STD_LOGIC_VECTOR(0 TO 1);BEGINPROCESS(CO3) BEGINIF CO3’EVENT AND CO3=

2020-12-12 18:42:20 224

原创 CNT2_18T

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT2_18T IS PORT(CO2:IN STD_LOGIC; Q:OUT STD_LOGIC);END CNT2_18T;ARCHITECTURE bhv OF CNT2_18T IS SIGNAL Q1:STD_LOGIC_VECTOR(0 TO 17); BEGIN PROCESS(CO2) BEGIN

2020-12-12 18:06:32 183

原创 乐曲演奏顶层文件

一共要设计这九个模块,并将这几个模块例化为元件,并用信号线连接起来就行了,重点是要理解并看懂原理图u1: pll1 PORT MAP(inclk0=>CLK_20,c0=>net1);u2:CNT10T PORT MAP( CO1=>net1,Q=>net2);u3:CNT2_18T PORT MAP(CO2=>net2,Q=>net3);u4:CNT138T PORT MAP(CLK=>net3,Q=>net4);u5:music1 PORT MA

2020-12-12 18:04:19 322

原创 数控分频模块

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY spker IS PORT(clk:IN STD_LOGIC; Tn:IN STD_LOGIC_VECTOR(0 TO 10); SpkS:OUT STD_LOGIC);END spker;ARCHITECTURE behav OF spker IS SIGNAL Q:STD_LOGIC_VECTOR(0

2020-12-12 17:59:25 171

原创 VGA显示实验代码

VGA控制模块代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SPORTS IS PORT(Q:IN STD_LOGIC;HS,VS,R,G,B:OUT STD_LOGIC);END SPORTS;ARCHITECTURE ONE OF SPORTS ISSIGNAL HCNT:STD_LOGIC_VECTOR(0 to 9);SIGNAL VCNT:STD_...

2020-12-12 17:38:12 817

转载 TIA Portal STEP 7 Professional V15 - WinCC Advanced V15需SP1。请在此PC中启用NET 3.5 SP1。在运行SQL server时需要此版本。

问题:winccprofessionalv14.0sp1需要.net3.5sp1。请在此PC中启用.net3.5sp1。在运行SQLsever时需要此版本答:控制面板--程序和功能--添加或关闭WINDOWS功能--打开的对话框里面勾选NET3.5,然后等待下载安装完成就行了WINCC的安装参考视频:如何在Win10中安装WinCCV14SP1http://www.ad.siemens.com.cn/service/elearning/CourseList/1_1_8_39__.h...

2020-12-11 22:17:08 20876 5

原创 一个未知类型文件为何自动变为了压缩包

设置打开I文件的默认程序设置不一样,你的WINRAR设置了关联这个类型文件,默认就是用WINRAR打开了!!就变为了一个压缩包。其它电脑也许默认是用其他软件关联默认打开,所以显示图标也不一样了。安装一个东西但是在百度网盘里面是未知文件类型,下载到电脑上就自动变成了一个压缩包。...

2020-12-11 21:59:16 812

原创 一看就会的VGA图像显示VHDL设计,简单易懂

VGA图像显示控制电路VHDL设计一、实验设计目的学习VGA图像显示控制电路设计。要求:1、VGA正常工作;2、图像显示;二、实验设计原理1、VGA如果正常工作?普通的VGA显示器引出线共含有5个信号:R、G、B:三基色信号HS:行同步信号VS:场同步信号这五个信号的时序驱动,严格遵循“VGA工业标准”颜色编码如下:如果VGA显示真彩色BMP图像,则要R、G、B三个分量各8位,即24位表示一个像素值,很多情况下还采用32位表示一个像素值。为了节省显存的存储空间,可采用高

2020-12-09 15:37:08 3667 4

原创 2020年小美赛题目B题翻译(参考就行,我没参加比赛,纯属兴趣使然)

The Variability of Oxygen Saturation 氧饱和度的变异性Pulse oximetry is routinely used for monitoring patients’ oxygen saturation levels.脉搏血氧仪是监测病人血氧饱和度的常规方法。During continuous monitoring, we wanted to be able to describe the patterns of ox..

2020-12-04 20:10:05 3839 8

操作系统知识-存储管理+文件管理管理-嵌入式系统设计师备考笔记

操作系统知识-存储管理+文件管理管理-嵌入式系统设计师备考笔记

2024-03-17

操作系统知识-操作系统作用+进程管理-嵌入式系统设计师备考笔记

操作系统知识-操作系统作用+进程管理-嵌入式系统设计师备考笔记,为博文配套的思维导图

2024-03-16

嵌入式系统软件及操作系统

本章对应的完整版思维导图

2024-03-12

带通高通低通三种滤波器的电路图

带通高通低通三种滤波器的电路图

2023-11-12

PAM双路抽样脉冲发生实验,博文配套电路图

PAM双路抽样脉冲发生实验,博文配套电路图

2023-11-12

2020年数模研赛参考作品

2020年数模研赛参考作品,优秀思路以及优秀论文,很容易复现的,感兴趣的可以自己试试。

2022-10-15

GigaDevice.GD32F10x_DFP.2.0.1.rar

stm32一个固件库

2021-10-17

xiaochesanweilingjiantu.rar

工程训练8字组无碳小车的DXF加装配

2021-07-29

ADS2020滤波器、接收机.rar

用ADS2020画了几个东西,最后是构成了个接收机,混频器、低通滤波器等等很多元件模块化设计

2021-07-29

心形流水灯原理图.rar

自己画的一个心形流水灯的PCB原理图文件,自己画了好几个库文件和封装,感觉是没啥大问题的,感兴趣可以看看

2021-07-29

思维导图教程(原创).rar

学生学习、培训机构上课或者项目宣讲过程中制作一个思维导图效果大多数时候都是事半功倍的,有兴趣的可以看看学学

2021-07-29

2021年西安邮电大学夏令营科研团队宣讲.rar

2021年西安邮电大学通院优研计划科研团队宣讲图片以及一些导师招生要求的介绍

2021-07-26

《基于c语言的流行病传播模型》个人原创课程设计

《基于c语言的流行病传播模型》个人原创课程设计,可视化效果还是不错的,能实时显示城市各种人群的数量,不得用于其他用途,只供个人参考

2021-06-28

CRC循环校验码的系统仿真及其应用.rar

我的博客里面的代码,博客有地方看不懂的可以下载一下

2021-05-24

GAME_DEGINER.rar

这个设计已经做完了,我把代码啥的放到我的资源里面了,有需要的自己下载,VHDL的学习就这样吧,感觉自己学到了不少东西,加油各位通信人!各位有什么问题可以给我提问,我看到了并且有空的话会一一回复的,不要辜负了自己的大好时光,再见!

2020-12-21

单片机74HC164.rar

基于51单片机的74HC164芯片的使用,我博客文章的配套仿真文件,感兴趣的可以看看我那篇博文,并配合仿真文件使用,个人没有写注释的习惯,有问题记得问我哈哈

2020-11-15

数字信号处理matlab仿真文档

这是我一篇博客的原理参考文件,有兴趣或者有需要的可以去看博客文章哈哈,我准备考研去了哈哈,有问题联系我啊

2020-11-11

字模提取软件合集有问题可以问我

就是几个字模提取软件有需要的自己下载,我去准备考研了,加油!考研人!有问题可以问我,如果我看到的话会回复的,唉,通信原理好难啊!啊啊啊!

2020-11-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除