verilog之三态门用法

          如下图所示,当使能 en 有效时,Y 作为输出,把 dout 的值赋给 Y ,当使能 en 无效时, dout 到 Y的路就断了,此时 Y 作为输入,把 Y 的值赋给 din ,也就是说这个 Y ,在特定时候(取决于 en)可以当输出,也可以当输入。

          对应的 Verilog代码如下图: 

 

            三态门主要用于双向接口,比如FLASH的四个(若为4线传输)数据接口,当向FLASH写数据时,四个数据接口作为输出接收FLASH控制器传来的数据,当从FLASH读数据时,四个数据接口作为输入,把从FLASH内部读到的数据传给FLASH控制器,其中SI接口的Verilog代码如下图:

 

 

  • 10
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值