学习记录 实验

SV lab4

在lab3的基础上 将gen(),send(),check(),rev() 集合为一个packet
生成随机数据 验证数据发送和接收的正确性
pkt2cmp pkt2send

packet.sv
定义一个class packet

定义 compare function
payload作为信号传递 比较payload和pkt2cmp.payload

test.sv
定义两个类 packet pkt2send/packet pkt2cmp
Gen()
声明一个静态的pkts_generated变量(初始化为0)
将pkt2send的name属性设置为一个唯一的字符串(使用pkts_generated变量值作为字符串的一部分)
随机化包对象pkt2send随机失败 报错误
使用随机化的pkt2send对象的值更新所有程序全局变量sa、da和payload。
recv()

声明一个静态的pkt_cnt变量(初始化为0)
接收payload
全局的da赋给pkt2cmp
pkt2cmp.payload = pkt2cmp_payload; ? 将pkt2cmp.payload数组赋给p.p做值
用pkt_cnt定义pkt2cmp名称

check()

比较send和cmp 并打印出来

流程明白 但是具体代码 还是要再看
2022/7/30/21:34

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值