FPGA通过PCIe读写DDR4仿真IP核

环境:Vivado 17.4

一、创建工程文件夹pcie_ddr4

根据个人所需选择器件库,创建好空的工程文件夹。

 二、创建IP工程

1、新建design

 2、添加IP模块

添加第一个IP:utility buffer

双击模块进入配置,选择差分时钟;

第二个IP,直接搜索DMA ,双击添加;

添加之后同样双击模块,进入配置:

 配置完成。

 第三个IP:AXI Interconnect,双击模块进入配置,将主从接口都设置为1。

 第四个IP:同样添加DDR4,这里默认设置就好。

 接下来进行连线:

 自动连线完成后,按F6进行检查。没有错误之后进行下一步。

 三、模块设计完成

生成可编译的HDL。

 Create HDL Wrapper之后;进入design_ddr4中验证IP功能:生成的文件选择工程文件夹目录下。

之后会进入一个新的窗口:点击仿真。

 四、仿真

添加波形,自定义仿真时间,重置之后得到波形:

 对通过PCIe数据传输的DDR4存储器仿真结果:

在不同地址成功写入用户数据,具体见波形。

速率待测。

  • 4
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
FPGA(现场可编程门阵列)是一种可编程逻辑器件,它可以根据用户需求重新配置其内部逻辑电路,实现不同的功能。DDR4(双数据速率4)是一种高速动态随机存取存储器,用于计算机系统中的高性能数据存储。 在FPGA中使用DDR4进行读写操作是一种常见的需求。首先,我们需要将DDR4模块与FPGA进行连接,以便FPGA能够访问DDR4存储器。这通常通过调配器或控制器来实现,这些模块负责处理FPGADDR4之间的通信。 在进行DDR4读操作时,FPGA首先发送相应的读请求信号到DDR4控制器。控制器接收到请求后,会根据提供的读取地址和控制信号,将数据从DDR4存储器中读取出来,并通过数据总线返回给FPGAFPGA在接收到数据后,可以进一步进行处理或使用。 在进行DDR4写操作时,FPGA首先发送相应的写请求信号到DDR4控制器,并提供要写入的数据和写入地址。控制器接收到请求后,将数据写入到DDR4存储器中的指定地址位置。 为了保证DDR4读写操作的正确性和效率,还需要进行一些时序控制和信号同步工作。例如,FPGA需要发送适当的时钟信号来同步读写操作,以确保数据的稳定和一致性。此外,还需要进行读写延迟的优化,以提高DDR4读写的速度和性能。 总之,FPGADDR4的结合可以通过适当的连接和控制来实现读写操作。这种组合可以为数据处理和存储方面的应用提供高性能和灵活性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值