FPGA编程入门

一、1位二进制数全加器

创建工程
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
创建好后,点击FIle,new
在这里插入图片描述

在这里插入图片描述

(1)选择元件,and2和xor,按如下连线(半加器)

在这里插入图片描述
编译后查看电路图
在这里插入图片描述

在这里插入图片描述
仿真结果
在这里插入图片描述

(2)全加器原理图

在这里插入图片描述

编译后查看仿真结果
在这里插入图片描述

(3)通过verilog语言实现

在这里插入图片描述

代码

module full_add(
	//输入信号,ain表示被加数,bin表示加数,cin表示低位向高位的进位
	input ain,bin,cin,
	//输出信号,cout表示向高位的进位,sum表示本位的相加和
	output reg cout,sum

);
reg s1,s2,s3;
always @(ain or bin or cin) begin
	sum=(ain^bin)^cin;//本位和输出表达式
	s1=ain&cin;
	s2=bin&cin;
	s3=ain&bin;
	cout=(s1|s2)|s3;//高位进位输出表达式
end
endmodule


在这里插入图片描述
保持工程名一致,编译后查看原理图,没换工程的话,记得设为顶层
在这里插入图片描述
查看仿真结果
在这里插入图片描述

二、四位全加器

把前面设计的一位全加器保存为元件
四位全加器调用
在这里插入图片描述
电路图
在这里插入图片描述
仿真结果
在这里插入图片描述
Verilog语言实现

module four_adder(
    input[3:0] a,b,
    input cin,
    output[3:0] sum,
    output cout
);
    assign{cout,sum} = a+b+cin;
endmodule

在这里插入图片描述
仿真结果
在这里插入图片描述
三、总结
分别通过原理图和verilog语言实现半加器和一位全加器,并且通过将一位全加器设置为元件来调用实现四位全加器。了解了FPGA开发的一些基础知识。
四、参考链接
https://blog.csdn.net/cjhz2333/article/details/129766541?spm=1001.2014.3001.5502

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_1482581259

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值