期末作业(一)

**

一个全加器的行为级描述

**

全加器代码如:

module	fuladd(Cin,x,y,s,Cout);
input	Cin,x,y;
output	reg s,Cout;
always	@(x,y,Cin)
{Cout,s} = x + y + Cin ;
endmodule	

二:Quartus II测试
1.创建Verilog HDL file
2.填写上述代码
3.进行编译

三:结果如图:
在这里插入图片描述
四、
过程链接

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值