51小项目-

实现内容:每次开机,开机数值加1并存储到24c02

目录

1.补充内容:

文件:


1.补充内容:

        1)锁存器

        定义:锁存器一般由几个逻辑门组成,最常见的是由两个交叉耦合的门(比如 NOR 或 NAND 门)构成。

        功能之一:  存储和保持数据:锁存器最基本的功能是存储和保持输入的数据。一旦输入的数据被锁存器接收,它就会保持在那里,直到后续的操作使其改变。

                在此处就是确保数据在传输到数码管显示模块之前不会因为其他因素而改变。这通常通过锁存器(Latch)来实现。锁存器可以将输入的数据暂存,直到锁存器接收到一个特定的信号(比如 LATCH1 和 LATCH2 控制信号),然后才将暂存的数据输出到数码管,从而确保数据在传输过程中不会因为外部因素的变化而被干扰或改变。

        再具体到代码:

//如果你想确保 LATCH1 = 1; 语句锁存的是 DataPort = 0; 执行时的状态,
DataPort = 0;   //  将 DataPort 的值设置为0,确保了在执行 LATCH1 = 1; 之前 DataPort 的值已经是0。
LATCH1 = 1;     // 段锁存 在 DataPort = 0; 之后执行,这时候 LATCH1 可能会触发一个锁存器或者其他的控制逻辑,捕获并锁存此时 DataPort 的值,即0
LATCH1 = 0;     // 解锁

        2)24c02

  • EPROM(Electrically Erasable Programmable Read-Only Memory,电可擦可编程只读存储器)是一种能够通过电子方式擦除和编程数据的存储器,与传统的EPROM(可擦可编程只读存储器)相比,EEPROM不需要紫外线进行擦除操作,因此更加方便和灵活。另外它的数据是持久性的,即便系统断电,存储在其中的数据也会保持。因此,每次你的系统启动时,都会从24c02读取最新的开机次数并更新。

  • 24C02是EEPROM系列中的一员,其名称中的"24"表示它是一个2K位存储器,"C"表示它是一种串行接口设备(通过I2C总线进行通信),"02"表示这个系列中的第二个设备。

  • I2C总线是一种串行通信总线,通常用于连接微控制器与外围设备。24C02通过I2C总线进行读写操作,其地址编程方式灵活,允许多个设备在同一总线上共存。

        3)定时器

        从0计数到设定的初值,达到此值便会发生溢出。如果不设定的话,默认的上限值就是默认的0XFF(开启的是16位寄存器)。

文件:

main.c

main()
{
 unsigned char num=0;
 Init_Timer0();
 IRcvStr(0xae,50,&num,1);   //从24c02读出数据   从24c02的0xae地址读取一个字节的数据,并将其存储到num变量中。通常情况下,这个函数包括了I2C总线通信的相关代码,用来发送读取命令并接收数据。
 num++;                 
 ISendStr(0xae,50,&num,1);  //写入24c02   向24c02的0xae地址写入一个字节的数据,将num变量写入。通常情况下,这个函数会包括I2C通信的代码,用来发送写入命令和数据。
 DelayMs(10);  //以ms为单位的延时函数
 TempData[0]=dofly_DuanMa[num/100];    //将开次计数的个、十、百位放到存储显示值的数组中
 TempData[1]=dofly_DuanMa[(num%100)/10];
 TempData[2]=dofly_DuanMa[(num%100)%10];

  while(1)
     {
	 }
}

display.c

#define DataPort P0 //程序中用到的DataPort 就是P0
unsigned char code dofly_DuanMa[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//显示断码值0~9  因为是共阴管,所以如此。如果不懂的可以看前面文章提到的数目管介绍。  其中的code就是让其存储到ROM存储器中。
unsigned char code dofly_WeiMa[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//位码  8个数码管,分别对应相应的数码管
unsigned char TempData[8];//存储显示值的全局变量
/*------------------------------------------------
 显示函数,用于动态扫描数码管
 参数1 FirstBit 表示要显示的第一位,如赋值2表示从第三个数码管开始显示
 参数2 Num表示需要显示的位数,如需要显示99两位数值则该值输入2
------------------------------------------------*/
void Display(unsigned char FirstBit,unsigned char Num)
{
      static unsigned char i=0;
	  

	   DataPort=0;   //清空数据,防止有交替重影    在显示新的内容之前,将DataPort端口的数据清零,以防上次显示的数据仍留在端口处,防止当前显示的和之前显示的交替重影。  将DataPort=0,并不是数码管上什么也不显示
       LATCH1=1;     //段锁存
       LATCH1=0;

       DataPort=dofly_WeiMa[i+FirstBit]; //取位码 
       LATCH2=1;     //位锁存
       LATCH2=0;


       DataPort=TempData[i]; //取显示数据,段码
       LATCH1=1;     //段锁存
       LATCH1=0;
       
	   i++;
       if(i==Num)
	      i=0;


}

//定时器初始化

void Init_Timer0(void)
{
 TMOD |= 0x01;	  //使用模式1,16位定时器,使用"|"符号可以在使用多个定时器时不受影响		 定时器有个工作模式位,将其设为1,就打开该工作模式。    
 //TH0=0x00;	      //给定初值
 //TL0=0x00;
 EA=1;            //总中断打开     EA=1;所有的中断(包括定时器中断、外部中断等)才能被响应。
 ET0=1;           //定时器中断打开
 TR0=1;           //定时器开关打开
}

// 定时器中断
void Timer0_isr(void) interrupt 1   //其中TH0是定时器0的高字节寄存器(高8位),TL0是定时器0的低字节寄存器(低8位)。另外我们所用的是16位定时器。定时器0的计数值通过 TH0 和 TL0 组成一个16位的计数器,其范围是 0 到 65535(0xFFFF)
{
 TH0=(65536-2000)/256;		  //重新赋值 2ms  
 TL0=(65536-2000)%256;         
 //计算后也就是从0计数到0xF8F0 
 Display(0,8);//调用显示函数

}

i2c.c

#define  _Nop()  _nop_()  //定义空指令  用于实现延时
                         
bit ack;	              //应答标志位  用于表示应答状态。

sbit SDA=P2^1;    //SDA 和 SCL 是使用 P2 端口的特定引脚定义为数据线和时钟线。
sbit SCL=P2^0;

//     启动总线  用于发送 I2C 总线的起始条件, 通过控制 SDA 和 SCL 引脚,实现起始信号的发送和锁定。           
void Start_I2c()
{
  SDA=1;   //发送起始条件的数据信号
  _Nop();
  SCL=1;
  _Nop();    //起始条件建立时间大于4.7us,延时
  _Nop();
  _Nop();
  _Nop();
  _Nop();    
  SDA=0;     //发送起始信号
  _Nop();    //起始条件锁定时间大于4μ
  _Nop();
  _Nop();
  _Nop();
  _Nop();       
  SCL=0;    //钳住I2C总线,准备发送或接收数据
  _Nop();
  _Nop();
}

//结束总线  用于发送 I2C 总线的结束条件,控制 SDA 和 SCL 引脚,确保发送正确的结束信号。

void Stop_I2c()
{
  SDA=0;    //发送结束条件的数据信号
  _Nop();   //发送结束条件的时钟信号
  SCL=1;    //结束条件建立时间大于4μ
  _Nop();
  _Nop();
  _Nop();
  _Nop();
  _Nop();
  SDA=1;    //发送I2C总线结束信号
  _Nop();
  _Nop();
  _Nop();
  _Nop();
}





 //                字节数据传送函数               
//函数原型: void  SendByte(unsigned char c);
//功能:  将数据发送出去,可以是地址,也可以是数据,发完后等待应答,并对
//此状态位进行操作.(不应答或非应答都使ack=0 假)     
//发送数据正常,ack=1; ack=0表示被控器无应答或损坏。
//通过循环控制 SDA 引脚输出每一位数据,并等待时钟信号。
//最后释放 SDA 并检查应答信号,将结果存入 ack 变量中。
void  SendByte(unsigned char c)
{
 unsigned char BitCnt;
 
 for(BitCnt=0;BitCnt<8;BitCnt++)  //要传送的数据长度为8位
    {
     if((c<<BitCnt)&0x80)SDA=1;   //判断发送位
       else  SDA=0;                
     _Nop();
     SCL=1;               //置时钟线为高,通知被控器开始接收数据位
      _Nop(); 
      _Nop();             //保证时钟高电平周期大于4μ
      _Nop();
      _Nop();
      _Nop();         
     SCL=0; 
    }
    
    _Nop();
    _Nop();
    SDA=1;               //8位发送完后释放数据线,准备接收应答位
    _Nop();
    _Nop();   
    SCL=1;
    _Nop();
    _Nop();
    _Nop();
    if(SDA==1)ack=0;     
       else ack=1;        //判断是否接收到应答信号
    SCL=0;
    _Nop();
    _Nop();
}







/*----------------------------------------------------------------
                 字节数据传送函数               
函数原型: unsigned char  RcvByte();
功能:  用来接收从器件传来的数据,并判断总线错误(不发应答信号),
     发完后请用应答函数。
将 SDA 设置为输入,循环接收每一位数据,并通过移位操作存储在 retc 变量中。
返回接收到的字节数据。
------------------------------------------------------------------*/	
unsigned char  RcvByte()
{
  unsigned char retc;
  unsigned char BitCnt;
  
  retc=0; 
  SDA=1;             //置数据线为输入方式
  for(BitCnt=0;BitCnt<8;BitCnt++)
      {
        _Nop();           
        SCL=0;       //置时钟线为低,准备接收数据位
        _Nop();
        _Nop();      //时钟低电平周期大于4.7us
        _Nop();
        _Nop();
        _Nop();
        SCL=1;       //置时钟线为高使数据线上数据有效
        _Nop();
        _Nop();
        retc=retc<<1;
        if(SDA==1)retc=retc+1; //读数据位,接收的数据位放入retc中
        _Nop();
        _Nop(); 
      }
  SCL=0;    
  _Nop();
  _Nop();
  return(retc);
}



/*----------------------------------------------------------------
                     应答子函数
原型:  void Ack_I2c(void);
用于发送应答信号,即将 SDA 置为低并产生时钟信号。
----------------------------------------------------------------*/
void Ack_I2c(void)
{
  
  SDA=0;     
  _Nop();
  _Nop();
  _Nop();      
  SCL=1;
  _Nop();
  _Nop();              //时钟低电平周期大于4μ
  _Nop();
  _Nop();
  _Nop();  
  SCL=0;               //清时钟线,钳住I2C总线以便继续接收
  _Nop();
  _Nop();    
}
/*----------------------------------------------------------------
                     非应答子函数
原型:  void NoAck_I2c(void);
用于发送非应答信号,即将 SDA 置为高并产生时钟信号。
----------------------------------------------------------------*/
void NoAck_I2c(void)
{
  
  SDA=1;
  _Nop();
  _Nop();
  _Nop();      
  SCL=1;
  _Nop();
  _Nop();              //时钟低电平周期大于4μ
  _Nop();
  _Nop();
  _Nop();  
  SCL=0;                //清时钟线,钳住I2C总线以便继续接收
  _Nop();
  _Nop();    
}






/*----------------------------------------------------------------
                    向无子地址器件发送字节数据函数               
函数原型: bit  ISendByte(unsigned char sla,ucahr c);  
功能:     从启动总线到发送地址,数据,结束总线的全过程,从器件地址sla.
           如果返回1表示操作成功,否则操作有误。
注意:    使用前必须已结束总线。
----------------------------------------------------------------*/
/*bit ISendByte(unsigned char sla,unsigned char c)
{
   Start_I2c();               //启动总线
   SendByte(sla);             //发送器件地址
     if(ack==0)return(0);
   SendByte(c);               //发送数据
     if(ack==0)return(0);
  Stop_I2c();                 //结束总线
  return(1);
}
*/


//I2C总线与器件进行通信的函数

/*----------------------------------------------------------------
                    向有子地址器件发送多字节数据函数               
函数原型: bit  ISendStr(unsigned char sla,unsigned char suba,ucahr *s,unsigned char no);  
功能:     从启动总线到发送地址,子地址,数据,结束总线的全过程,从器件
          地址sla,子地址suba,发送内容是s指向的内容,发送no个字节。
           如果返回1表示操作成功,否则操作有误。
注意:    使用前必须已结束总线。
----------------------------------------------------------------*/
bit ISendStr(unsigned char sla,unsigned char suba,unsigned char *s,unsigned char no)
{
   unsigned char i;
 for(i=0;i<no;i++)
    { 
   Start_I2c();               //启动总线
   SendByte(sla);             //发送器件地址
     if(ack==0)return(0);   // 如果ACK为0,表示通信出错,返回0
   SendByte(suba);            //发送器件子地址
     if(ack==0)return(0);   // 如果ACK为0,表示通信出错,返回0
 
     SendByte(*s);            //发送数据
       if(ack==0)return(0); // 如果ACK为0,表示通信出错,返回0
     Stop_I2c();                  //结束总线
	 DelayMs(1);               //必须延时等待芯片内部自动处理数据完毕
	 s++;                       // 指向下一个数据
	 suba++;                    // 下一个子地址
    } 
  return(1);                     // 操作成功返回1
}

/*----------------------------------------------------------------
                    向无子地址器件读字节数据函数               
函数原型: bit  IRcvByte(unsigned char sla,ucahr *c);  
功能:     从启动总线到发送地址,读数据,结束总线的全过程,从器件地
          址sla,返回值在c.
           如果返回1表示操作成功,否则操作有误。
注意:    使用前必须已结束总线。
----------------------------------------------------------------*/
/*bit IRcvByte(unsigned char sla,unsigned char *c)
{
   Start_I2c();                //启动总线
   SendByte(sla+1);            //发送器件地址
     if(ack==0)return(0);
   *c=RcvByte();               //读取数据
     NoAck_I2c();              //发送非就答位
     Stop_I2c();               //结束总线
  return(1);
}

*/
/*----------------------------------------------------------------
                    向有子地址器件读取多字节数据函数               
函数原型: bit  ISendStr(unsigned char sla,unsigned char suba,ucahr *s,unsigned char no);  
功能:     从启动总线到发送地址,子地址,读数据,结束总线的全过程,从器件
          地址sla,子地址suba,读出的内容放入s指向的存储区,读no个字节。
           如果返回1表示操作成功,否则操作有误。
注意:    使用前必须已结束总线。
----------------------------------------------------------------*/
bit IRcvStr(unsigned char sla,unsigned char suba,unsigned char *s,unsigned char no)
{
   unsigned char i;

   Start_I2c();               //启动总线
   SendByte(sla);             //发送器件地址
     if(ack==0)return(0);    // 如果ACK为0,表示通信出错,返回0
   SendByte(suba);            //发送器件子地址
     if(ack==0)return(0);    // 如果ACK为0,表示通信出错,返回0

   Start_I2c();             // 重启总线
   SendByte(sla+1);         // 发送器件地址,读操作(最低位为1)
      if(ack==0)return(0);   // 如果ACK为0,表示通信出错,返回0

  for(i=0;i<no-1;i++)
    { 
     *s=RcvByte();              //发送数据
      Ack_I2c();                //发送就答位 
     s++;                       // 指向下一个存储位置
    } 
   *s=RcvByte();                // 接收最后一个字节
    NoAck_I2c();                 //发送非应位
    Stop_I2c();                    //结束总线
  return(1);
}

  • 10
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值