【STM32学习笔记】(9)——串口通讯(USART)详解

        本文主要参考了野火的零死角玩转STM32和正点原子的STM32F1 开发指南 V1.1(精英板-库函数版本),文章中大部分知识都是从两本书中提取出来,串口通信协议的知识主要参考野火的书籍,而串口实验部分是根据正点原子的开发板和例程进行讲解。

串口通讯协议简介

        串口通讯 (Serial Communication) 是一种设备间极为常用的串行通讯方式,目前多存在于工控机及部分通信设备中。

        对于通讯协议,以分层的方式来理解,可以把它分为物理层协议层

        物理层规定通讯系统中具有机械、电子功能部分的特性,确保原始数据在物理媒体的传输。

        协议层主要规定通讯逻辑,统一收发双方的数据打包、解包标准。简单来说物理层规定我们用嘴巴还是用肢体来交流,协议层则规定我们用中文还是英文来交流。

串口通讯的物理层

        串口通讯的物理层有很多标准及变种,串口通讯的物理层的主要标准是RS-232标准,其规定了信号的用途通讯接口信号的电平标准,其通讯结构如下:

        在上面的通讯方式中,两个通讯设备的“DB9接口”之间通过串口信号线建立起连接,串口信号线中使用“RS-232标准”传输数据信号。由于RS-232电平标准的信号不能直接被控制器直接识别,所以这些信号会经过一个“电平转换芯片”转换成控制器能识别的“TTL 标准”的电平信号,才能实现通讯。

1、信号的电平标准

        在设备内部信号是以TTL电平标准传输的,设备之间是通过RS-232电平标准传输的,而且TTL电平需要经过电平转换芯片才能转化为RS-232电平,RS-232电平转TTL电平也是如此。如图是TTL电平标准与RS-232电平标准。

 

 

        电子电路中常使用 TTL 的电平标准,理想状态下,使用 5V 表示二进制逻辑 1, 使用 0V 表示逻辑 0

        为了增加串口通讯的远距离传输及抗干扰能力,所以RS-232信号线,理想状态下,使用-15V 表示逻辑 1+15V 表示逻辑 0

2、RS-232 信号线

        在最初的应用中,RS-232 串口标准常用于计算机路由与调制调解器 (MODEN,俗称“猫”) 之间的通讯,在这种通讯系统中,设备被分为数据终端设备 DTE(计算机、路由)数据通讯设备DCE(调制调解器)。在旧式的台式计算机中一般会有 RS-232 标准的 COM 口 (也称 DB9 接口),下图就是电脑主板上的 COM 口及串口线 。

 

 

        其中接线口以针式引出信号线的称为公头,以孔式引出信号线的称为母头。在计算机中一般引出公头接口,而在调制调解器设备中引出的一般为母头,使用上图中的串口线即可把它与计算机连接起来。通讯时,串口线中传输的信号就是使用前面讲解的 RS-232 标准调制的。

        DB9 接口中的公头及母头的各个引脚的标准信号线接法如下图。 

       

 

        上表中的是计算机端的 DB9 公头标准接法,由于两个通讯设备之间的收发信号 (RXD 与 TXD) 应交叉相连,所以调制调解器端的 DB9 母头的收发信号接法一般与公头的相反。 

 

        串口线中的 RTS、CTS、DSR、DTR 及 DCD 信号,使用逻辑 1 表示信号有效,逻辑 0 表示信号无效。

        例如,当计算机端控制 DTR 信号线表示为逻辑 1 时,它是为了告知远端的调制调解器,本机已准备好接收数据,0 则表示还没准备就绪。

        在目前的其它工业控制使用的串口通讯中,一般只使用 RXD、TXD 以及 GND 三条信号线,直接传输数据信号,而 RTS、CTS、DSR、DTR 及 DCD 信号都被裁剪掉了。

串口通讯的协议层

1、数据包

        串口通讯的数据包由发送设备通过自身的 TXD 接口传输到接收设备的 RXD 接口。

        在串口通讯的协议层中,规定了数据包的内容,它由启始位、主体数据、校验位以及停止位组成,通讯双方的数据包格式要约定一致才能正常收发数据

 

2、波特率

        由于异步通信中没有时钟信号,所以接收双方要约定好波特率,即每秒传输的码元个数,以便对信号进行解码,常见的波特率有4800、9600、115200等。STM32中波特率的设置通过串口初始化结构体来实现。

3、起始和停止信号

        数据包的首尾分别是起始位停止位,数据包的起始信号由一个逻辑0的数据位表示停止位信号可由0.5、1、1.5、2个逻辑1的数据位表示,双方需约定一致。STM32中起始和停止信号的设置也是通过串口初始化结构体来实现。

4、有效数据

        在数据包的起始位之后紧接着的就是要传输的主体数据内容,也称为有效数据,有效数据的长度常被约定为 5、6、7 或 8 位长。

5、数据校验

        在有效数据之后,有一个可选的数据校验位。由于数据通信相对更容易受到外部干扰导致传输数据出现偏差,可以在传输过程加上校验位来解决这个问题。

        校验方法有奇校验 (odd)偶校验 (even)0 校验 (space)1 校验 (mark) 以及无校验 (noparity)

        奇校验要求有效数据和校验位中“1”之和的个数为奇数,比如一个 8 位长的有效数据为:01101001, 此时总共有 4 个“1”,为达到奇校验效果,校验位为“1”,最后传输的数据将是 8 位的有效数据加上 1 位的校验位总共 9 位。

        偶校验与奇校验要求刚好相反,要求帧数据和校验位中“1”之和的个数为偶数,比如数据帧:11001010, 此时数据帧“1”的个数为 4 个,所以偶校验位为“0”。

        0 校验是不管有效数据中的内容是什么,校验位总为“0”

        1 校验是不管有效数据中的内容是什么,校验位总为“1”

STM32 的 USART 简介

        通用同步异步收发器 (Universal Synchronous Asynchronous Receiver and Transmitter) 是一个串行通信设备,可以灵活地与外部设备进行全双工数据交换

        UART(Universal Asynchronous Receiver and Transmitter)跟 USART不一样的是:它是在 USART 基础上裁剪掉了同步通信功能,只有异步通信。简单区分同步和异步就是看通信时需不需要对外提供时钟输出,我们平时用的串口通信基本都是UART。

        串行通信一般是以帧格式传输数据,即是一帧一帧的传输,每帧包含有起始信号、数据信息、停止信号,可能还有校验信息。USART 就是对这些传输参数有具体规定,当然也不是只有唯一一 个参数值,很多参数值都可以自定义设置,只是增强它的兼容性。

        USART 满足外部设备对工业标准 NRZ 异步串行数据格式的要求,并且使用了小数波特率发生器,可以提供多种波特率,使得它的应用更加广泛。

        USART不仅支持同步单向通信半双工单线通信,也支持LIN(局部互连网)智能卡协议IrDA(红外数据组织)SIR ENDEC规范,以及调制解调器(CTS/RTS)操作。它还允许多处理器通信。 USART 支持使用 DMA,可实现高速数据通信。

        STM32在硬件设计时一般都会预留一个 USART 通信接口连接电脑,用于在调试程序是可以把一些调试信息“打印”在电脑端的串口调试助手工具上,从而来用串口调试助手来验证自己的程序是否出了问题。

USART功能概述

        接口通过三个引脚与其他设备连接在一起USART框图。任何USART双向通信至少需要两个脚:接收数据输入(RX)和发送数据输出(TX)。

         RX:接收数据串行输入。通过过采样技术来区别数据和噪音,从而恢复数据。

        TX:发送数据输出。当发送器被禁止时,输出引脚恢复到它的I/O端口配置。当发送器被激活,并且不发送数据时,TX引脚处于高电平。在单线和智能卡模式里,此I/O口被同时用于数据的发送和接收。

        ● 总线在发送或接收前应处于空闲状态

        ● 一个起始位

        ● 一个数据字(8或9位),最低有效位在前

        ● 0.5,1.5,2个的停止位,由此表明数据帧的结束

        ● 使用分数波特率发生器 —— 12位整数和4位小数的表示方法。

        ● 一个状态寄存器(USART_SR)

        ● 数据寄存器(USART_DR)

        ● 一个波特率寄存器(USART_BRR),12位的整数和4位小数

        ● 一个智能卡模式下的保护时间寄存器(USART_GTPR)

USART框图

 

功能引脚

        TX:发送数据输出引脚。

        RX:接收数据输入引脚。

        SW_RX:数据接收引脚,只用于单线和智能卡模式,属于内部引脚,没有具体外部引脚。

        nRTS:请求以发送 (Request To Send),n 表示低电平有效。如果使能 RTS 流控制,当 USART 接收器准备好接收新数据时就会将 nRTS 变成低电平;当接收寄存器已满时,nRTS 将被设置为高电平。该引脚只适用于硬件流控制。

        nCTS:清除以发送 (Clear To Send),n 表示低电平有效。如果使能 CTS 流控制,发送器在发送下一帧数据之前会检测 nCTS 引脚,如果为低电平,表示可以发送数据如果为高电平则在发送完当前数据帧之后停止发送。该引脚只适用于硬件流控制。

        SCLK:发送器时钟输出引脚。这个引脚仅适用于同步模式

        STM32F103ZET6 系统控制器有三个 USART两个 UART,其中 USART1 和时钟来源于 APB2 总线时钟,其最大频率为 72MHz,其他四个的时钟来源于 APB1 总线时钟,其最大频率为 36MHz。 UART 只是异步传输功能,所以没有 SCLK、nCTS 和 nRTS 功能引脚。 

 与USART有关的寄存器

USART寄存器地址映像

 

USART寄存器描述 

        1、USART状态控制器(USART_SR)

 

        2、数据寄存器(USART_DR)

 

        3、波特比率寄存器(USART_BRR)

        4、控制寄存器 1(USART_CR1)

 

        5、控制寄存器 2(USART_CR2)

 

        6、控制寄存器 3(USART_CR3)

 

使用寄存器来配置USART 

数据寄存器

        USART 数据寄存器 (USART_DR) 只有低 9 位有效,并且第 9 位数据是否有效要取决于 USART 控制寄存器 1(USART_CR1) 的 M 位设置当 M 位为 0 时表示 8 位数据字长,当 M 位为 1 表示 9 位数据字长,我们一般使用 8 位数据字长。 USART_DR 包含了已发送的数据或者接收到的数据。USART_DR 实际是包含了两个寄存器,一 个是专门用于发送的可写 TDR,另一个是专门用于接收的可读 RDR。当进行发送操作时,往USART_DR 写入数据会自动存储在 TDR 内;当进行读取操作时,向 USART_DR 读取数据会自动提取 RDR 数据

        TDR 和 RDR 都是介于系统总线和移位寄存器之间。串行通信是一个位紧接着一个位传输的。

        发送时把 TDR 内容转移到发送移位寄存器,然后把移位寄存器数据每一位发送出去;接收时把接收到的 每一位顺序保存在接收移位寄存器内然后才转移到 RDR。

        USART 支持 DMA 传输,可以实现高速数据传输。

控制器

        USART 有专门控制发送的发送器、控制接收的接收器,还有唤醒单元、中断控制等等。使用 USART 之前需要向 USART_CR1 寄存器的 UE 位置 1 使能 USART,UE 位用来开启供给给串口 的时钟。

        发送或者接收数据字长可选 8 位或 9 位,由 USART_CR1 的 M 位控制。

发送器

        当 USART_CR1 寄存器的发送使能位 TE 置 1 时,启动数据发送,发送移位寄存器的数据会在 TX 引脚输出低位在前,高位在后。如果是同步模式 SCLK 也输出时钟信号。

        一个字符帧发送需要三个部分:起始位 + 数据帧 + 停止位。起始位是一个位周期的低电平,位周期就是每一位占用的时间;数据帧就是我们要发送的 8 位或 9 位数据,数据是从最低位开始传输的;停止位是一定时间周期的高电平

        停止位时间长短是可以通过 USART 控制寄存器 2(USART_CR2) 的 STOP[1:0] 位控制,可选 0.5 个、1 个、1.5 个和 2 个停止位。默认使用 1 个停止位。2 个停止位适用于正常 USART 模式、单线模式和调制解调器模式。0.5 个和 1.5 个停止位用于智能卡模式。 当选择 8 位字长,使用 1 个停止位时,具体发送字符时序图见图字符发送时序图 。

        例如:当选择 8 位字长,使用 1 个停止位时,具体发送字符时序图见图字符发送时序图 。

        当发送使能位 TE 置 1 之后,发送器开始会先发送一个空闲帧 (一个数据帧长度的高电平),接下来就可以往 USART_DR 寄存器写入要发送的数据。在写入最后一个数据后,需要等待 USART 状态寄存器 (USART_SR) 的 TC 位为 1,表示数据传输完成,如果 USART_CR1 寄存器的 TCIE 位置 1,将产生中断

        在发送数据时,编程的时候有几个比较重要的标志位我们来总结下。

 

接收器

        如果将 USART_CR1 寄存器的 RE 位置 1使能 USART 接收,使得接收器在 RX 线开始搜索起始位。在确定到起始位后就根据 RX 线电平状态把数据存放在接收移位寄存器内。接收完成后就把接收移位寄存器数据移到 RDR 内,并把 USART_SR 寄存器的 RXNE 位置 1,同时如果 USART_CR2 寄存器的 RXNEIE 置 1 的话可以产生中断

        在接收数据时,编程的时候有几个比较重要的标志位我们来总结下。

 

 

USARTDIV的计算

        波特率指数据信号对载波的调制速率,它用单位时间内载波调制状态改变次数来表示单位为比特比特率指单位时间内传输的比特数,单位 bit/s(bps)。对于 USART 波特率与比特率相等,所以可以将波特率和比特率作为同一个概念。波特率越大,传输速率越快。 USART 的发送器和接收器需要使用相同的波特率。

        计算公式如下:

        其中,fPLCK为 USART 时钟USARTDIV 是一个存放在波特率寄存器 (USART_BRR) 的一个无符号定点数。其中 DIV_Mantissa[11:0] 定义 USARTDIV 的整数部分DIV_Fraction[3:0] 位定义USARTDIV 的小数部分

        例如:DIV_Mantissa=24(0x18),DIV_Fraction=10(0x0A),此时 USART_BRR 值为 0x18A;那么 USARTDIV 的小数位 10/16=0.625;整数位 24,最终 USARTDIV 的值为 24.625。

        如果知道 USARTDIV 值为 27.68,那么 DIV_Fraction=16*0.68=10.88,最接近的正整数为 11,所以 DIV_Fraction[3:0] 为 0xB;DIV_Mantissa= 整数 (27.68)=27,即为 0x1B。

        波特率的常用值有 2400、9600、19200、115200。下面以实例讲解如何设定寄存器值得到波特率的值。

        我们知道 USART1 使用 APB2 总线时钟,最高可达 72MHz,其他 USART 的最高频率为 36MHz。

        我们选取 USART1 作为实例讲解,即fPLCK=72MHz。为得到 115200bps 的波特率,此时:

                                                          115200 =   

 

  解得USARTDIV=39.0625,可算得 DIV_Fraction=0.0625*16=1=0x01,DIV_Mantissa=39=0x27,即应该设置 USART_BRR 的值为 0x271。

USART校验控制

        STM32F103 系列控制器 USART 支持奇偶校验。当使用校验位时,串口传输的长度将是 8 位的数据帧加上 1 位的校验位总共 9 位,此时 USART_CR1 寄存器的 M 位需要设置为 1,即 9 数据位。

        将 USART_CR1 寄存器的 PCE 位置 1 就可以启动奇偶校验控制奇偶校验由硬件自动完成。启动了奇偶校验控制之后,在发送数据帧时会自动添加校验位接收数据时自动验证校验位。接收数据时如果出现奇偶校验位验证失败,会见 USART_SR 寄存器的 PE 位置 1,并可以产生奇偶校验中断。

        使能了奇偶校验控制后,每个字符帧的格式将变成:起始位 + 数据帧 + 校验位 + 停止位。

 

中断控制

与USART配置有关的固件库函数

USART 初始化结构体

        标准库函数对每个外设都建立了一个初始化结构体,比如 USART_InitTypeDef,结构体成员用于 设置外设工作参数,并由外设初始化配置函数,比如 USART_Init() 调用,这些设定参数将会设置 外设相应的寄存器,达到配置外设工作环境的目的。

        初始化结构体定义在 stm32f10x_usart.h 文件中,初始化库函数定义在 stm32f10x_usart.c 文件中。

typedef struct 
{
    uint32_t USART_BaudRate; // 波特率
    uint16_t USART_WordLength; // 字长
    uint16_t USART_StopBits; // 停止位
    uint16_t USART_Parity; // 校验位
    uint16_t USART_Mode; // USART 模式
    uint16_t USART_HardwareFlowControl; // 硬件流控制
} USART_InitTypeDef; 

        ●USART_BaudRate:波特率设置。

        一般设置为 2400、9600、19200、115200。标准库函数会根据设定值计算得到 USARTDIV 值,从而设置 USART_BRR 寄存器值。

        ●USART_WordLength:数据帧字长,可选 8 位或 9 位。

        它设定 USART_CR1 寄存器的 M 位的值。如果没有使能奇偶校验控制,一般使用 8 数据位;如果使能了奇偶校验则一般设置为 9 数据位。

#define USART_WordLength_8b                  ((uint16_t)0x0000)
#define USART_WordLength_9b                  ((uint16_t)0x1000)
                                    
#define IS_USART_WORD_LENGTH(LENGTH) (((LENGTH) == USART_WordLength_8b) || \
                                      ((LENGTH) == USART_WordLength_9b))

        ● USART_StopBits:停止位设置。

        停止位可选 0.5 个、1 个、1.5 个和 2 个停止位,它设定 USART_CR2寄存器的 STOP[1:0] 位的值,一般我们选择 1 个停止位。

#define USART_StopBits_1                     ((uint16_t)0x0000)
#define USART_StopBits_0_5                   ((uint16_t)0x1000)
#define USART_StopBits_2                     ((uint16_t)0x2000)
#define USART_StopBits_1_5                   ((uint16_t)0x3000)
#define IS_USART_STOPBITS(STOPBITS) (((STOPBITS) == USART_StopBits_1) || \
                                     ((STOPBITS) == USART_StopBits_0_5) || \
                                     ((STOPBITS) == USART_StopBits_2) || \
									 ((STOPBITS) == USART_StopBits_1_5))

        ● USART_Parity:奇偶校验控制选择。

    奇偶校验位可选 USART_Parity_No(无校验)、USART_Parity_Even(偶校验) 以及 USART_Parity_Odd(奇校验),它设定 USART_CR1 寄存器的 PCE 位和 PS 位的值。

#define USART_Parity_No                      ((uint16_t)0x0000)
#define USART_Parity_Even                    ((uint16_t)0x0400)
#define USART_Parity_Odd                     ((uint16_t)0x0600) 
#define IS_USART_PARITY(PARITY) (((PARITY) == USART_Parity_No) || \
                                 ((PARITY) == USART_Parity_Even) || \
                                 ((PARITY) == USART_Parity_Odd))

        ● USART_Mode:USART 模式选择。

        USART的模式有 USART_Mode_Rx 和 USART_Mode_Tx,允许使用逻辑或运算选择两个,它设定 USART_CR1 寄存器的 RE 位和 TE 位。

#define USART_Mode_Rx                        ((uint16_t)0x0004)
#define USART_Mode_Tx                        ((uint16_t)0x0008)
#define IS_USART_MODE(MODE) ((((MODE) & (uint16_t)0xFFF3) == 0x00) && ((MODE) != (uint16_t)0x00))

        ●USART_HardwareFlowControl:硬件流控制选择。

#define USART_HardwareFlowControl_None       ((uint16_t)0x0000)
#define USART_HardwareFlowControl_RTS        ((uint16_t)0x0100)
#define USART_HardwareFlowControl_CTS        ((uint16_t)0x0200)
#define USART_HardwareFlowControl_RTS_CTS    ((uint16_t)0x0300)
#define IS_USART_HARDWARE_FLOW_CONTROL(CONTROL)\
                              (((CONTROL) == USART_HardwareFlowControl_None) || \
                               ((CONTROL) == USART_HardwareFlowControl_RTS) || \
                               ((CONTROL) == USART_HardwareFlowControl_CTS) || \
                               ((CONTROL) == USART_HardwareFlowControl_RTS_CTS))

 

USART 时钟初始化结构体

 

typedef struct 
{
    uint16_t USART_Clock; // 时钟使能控制
    uint16_t USART_CPOL; // 时钟极性
    uint16_t USART_CPHA; // 时钟相位
    uint16_t USART_LastBit; // 最尾位时钟脉冲
} USART_ClockInitTypeDef;

        ● USART_Clock:同步模式下 SCLK 引脚上时钟输出使能控制。

        可选禁止时钟输出 (USART_Clock_Disable) 或开启时钟输出 (USART_Clock_Enable);如果使用同步模式发送,一般都需要开启时钟。它设定 USART_CR2 寄存器的 CLKEN 位的值。

#define USART_Clock_Disable                  ((uint16_t)0x0000)
#define USART_Clock_Enable                   ((uint16_t)0x0800)
#define IS_USART_CLOCK(CLOCK) (((CLOCK) == USART_Clock_Disable) || \
                               ((CLOCK) == USART_Clock_Enable))

        ● USART_CPOL:同步模式下 SCLK 引脚上输出时钟极性设置。

      可设置在空闲时 SCLK 引脚为低电平 (USART_CPOL_Low) 或高电平 (USART_CPOL_High)。它设定 USART_CR2 寄存器的 CPOL位的值。

#define USART_CPOL_Low                       ((uint16_t)0x0000)
#define USART_CPOL_High                      ((uint16_t)0x0400)
#define IS_USART_CPOL(CPOL) (((CPOL) == USART_CPOL_Low) || ((CPOL) == USART_CPOL_High))

        ● USART_CPHA:同步模式下 SCLK 引脚上输出时钟相位设置

        可设置在时钟第一个变化沿捕获数据 (USART_CPHA_1Edge) 或在时钟第二个变化沿捕获数据。它设定 USART_CR2 寄存器的CPHA 位的值。USART_CPHA 与 USART_CPOL 配合使用可以获得多种模式时钟关系。

#define USART_CPHA_1Edge                     ((uint16_t)0x0000)
#define USART_CPHA_2Edge                     ((uint16_t)0x0200)
#define IS_USART_CPHA(CPHA) (((CPHA) == USART_CPHA_1Edge) || ((CPHA) == USART_CPHA_2Edge))

        ● USART_LastBit:选择在发送最后一个数据位的时候时钟脉冲是否在 SCLK 引脚输出。

        可以是不输出脉冲 (USART_LastBit_Disable)、输出脉冲 (USART_LastBit_Enable)。它设定 USART_CR2 寄存器的 LBCL 位的值。

#define USART_LastBit_Disable                ((uint16_t)0x0000)
#define USART_LastBit_Enable                 ((uint16_t)0x0100)
#define IS_USART_LASTBIT(LASTBIT) (((LASTBIT) == USART_LastBit_Disable) || \
                                   ((LASTBIT) == USART_LastBit_Enable))

 

USART文件夹介绍

 

            usart 文件夹内包含了 usart.c 和 usart.h 两个文件。这两个文件用于串口的初始化和中断接收。这里只是针对串口 1,比如你要用串口 2 或者其他的串口,只要对代码稍作修改就可以了。

        usart.c里面包含了2个函数一个是void USART1_IRQHandler(void);另外一个是void uart_init(u32 bound);里面还有一段对串口 printf 的支持代码,如果去掉,则会导致 printf 无法使用,虽然软件编译不会报错,但是硬件上 STM32 是无法启动的,这段代码不要去修改。

printf 函数

        这段引入 printf 函数支持的代码在 usart.h 头文件的最上方,这段代码加入之后便可以通过 printf 函数向串口发送我们需要的内容,方便开发过程中查看代码执行情况以及一些变量值。这 段代码不需要修改,引入到 usart.h 即可。

        这段代码为:

//
//加入以下代码,支持printf函数,而不需要选择use MicroLIB	  
#if 1
#pragma import(__use_no_semihosting)             
//标准库需要的支持函数                 
struct __FILE 
{ 
	int handle; 

}; 

FILE __stdout;       
//定义_sys_exit()以避免使用半主机模式    
_sys_exit(int x) 
{ 
	x = x; 
} 
//重定义fputc函数 
int fputc(int ch, FILE *f)
{      
	while((USART1->SR&0X40)==0);//循环发送,直到发送完毕   
    USART1->DR = (u8) ch;      
	return ch;
}
#endif

 

uart_init函数

        串口设置的一般步骤可以总结为如下几个步骤:

        1) 串口时钟使能,GPIO 时钟使能

        2) 串口复位

        3) GPIO 端口模式设置

        4) 串口参数初始化

        5) 开启中断并且初始化 NVIC(如果需要开启中断才需要这个步骤)

        6) 使能串口

        7) 编写中断处理函数

        下面,我们就简单介绍下这几个与串口基本配置直接相关的几个固件库函数。

        这些函数和定义主要分布在 stm32f10x_usart.h 和 stm32f10x_usart.c 文件中。

1.串口时钟使能。

        串口是挂载在 APB2 下面的外设,所以使能函数为:

RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1);

 

2.串口复位。

        当外设出现异常的时候可以通过复位设置,实现该外设的复位,然后重新配置这个外设达到让其重新工作的目的。一般在系统刚开始配置外设的时候,都会先执行复位该外设的操作。

        复位的是在函数 USART_DeInit()中完成:

void USART_DeInit(USART_TypeDef* USARTx);//串口复位

        比如我们要复位串口 1,方法为:

USART_DeInit(USART1); //复位串口 1

 

3.串口参数初始化。

        串口初始化是通过 USART_Init()函数实现的:

void USART_Init(USART_TypeDef* USARTx, USART_InitTypeDef* USART_InitStruct);

        这个函数的第一个入口参数是指定初始化的串口标号,这里选择 USART1。

        第二个入口参数是一个 USART_InitTypeDef 类型的结构体指针,这个结构体指针的成员变量用来设置串口的一些参数。一般的实现格式为:

USART_InitStructure.USART_BaudRate = bound; //波特率设置;
USART_InitStructure.USART_WordLength = USART_WordLength_8b;//字长为 8 位数据格式
USART_InitStructure.USART_StopBits = USART_StopBits_1; //一个停止位
USART_InitStructure.USART_Parity = USART_Parity_No; //无奇偶校验位
USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None; 
//无硬件数据流控制
USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx; //收发模式
USART_Init(USART1, &USART_InitStructure); //初始化串口

        从上面的初始化格式可以看出初始化需要设置的参数为:波特率,字长,停止位,奇偶校验位,硬件数据流控制,模式(收,发)。我们可以根据需要设置这些参数。

4.数据发送与接收。

        STM32 的发送与接收是通过数据寄存器 USART_DR 来实现的,这是一个双寄存器,包含了 TDR 和 RDR。当向该寄存器写数据的时候,串口就会自动发送,当收到数据的时候,也是存在该寄存器内。

        STM32 库函数操作 USART_DR 寄存器发送数据的函数是:

void USART_SendData(USART_TypeDef* USARTx, uint16_t Data);

        通过该函数向串口寄存器 USART_DR 写入一个数据。

        STM32 库函数操作 USART_DR 寄存器读取串口接收到的数据的函数是:

uint16_t USART_ReceiveData(USART_TypeDef* USARTx);

        通过该函数可以读取串口接受到的数据。

5.串口状态。

        串口的状态可以通过状态寄存器 USART_SR 读取。USART_SR 的各位描述如下图所示。

        RXNE(读数据寄存器非空),当该位被置 1 的时候,就是提示已经有数据被接收到了,并且可以读出来了。这时候我们要做的就是尽快去读取 USART_DR,通过读 USART_DR 可以将该位清零,也可以向该位写 0,直接清除。

        TC(发送完成),当该位被置位的时候,表示 USART_DR 内的数据已经被发送完成了。如果设置了这个位的中断,则会产生中断。该位也有两种清零方式:

        1)读 USART_SR,写USART_DR。

        2)直接向该位写 0。

        在我们固件库函数里面,读取串口状态的函数是:

FlagStatus USART_GetFlagStatus(USART_TypeDef* USARTx, uint16_t USART_FLAG);

        这个函数的第二个入口参数非常关键,它是标示我们要查看串口的哪种状态,比如上面讲解的RXNE(读数据寄存器非空)以及 TC(发送完成)。例如:

        我们要判断读寄存器是否非空(RXNE),操作库函数的方法是:

USART_GetFlagStatus(USART1, USART_FLAG_RXNE);

        我们要判断发送是否完成(TC),操作库函数的方法是:

USART_GetFlagStatus(USART1, USART_FLAG_TC); 

        这些标识号在 MDK 里面是通过宏定义定义的:

#define USART_IT_PE ((uint16_t)0x0028)
#define USART_IT_TXE ((uint16_t)0x0727)
#define USART_IT_TC ((uint16_t)0x0626)
#define USART_IT_RXNE ((uint16_t)0x0525)
#define USART_IT_IDLE ((uint16_t)0x0424)
#define USART_IT_LBD ((uint16_t)0x0846)
#define USART_IT_CTS ((uint16_t)0x096A)
#define USART_IT_ERR ((uint16_t)0x0060)
#define USART_IT_ORE ((uint16_t)0x0360)
#define USART_IT_NE ((uint16_t)0x0260)
#define USART_IT_FE ((uint16_t)0x0160)

 

6.串口使能。

        串口使能是通过函数 USART_Cmd()来实现的,这个很容易理解,使用方法是:

USART_Cmd(USART1, ENABLE); //使能串口 

 

7.开启串口响应中断。

        有些时候当我们还需要开启串口中断,那么我们还需要使能串口中断,使能串口中断的函数是:

void USART_ITConfig(USART_TypeDef* USARTx, uint16_t USART_IT, FunctionalState NewState)

        这个函数的第二个入口参数是标示使能串口的类型,也就是使能哪种中断,因为串口的中断类型有很多种。比如在接收到数据的时候(RXNE 读数据寄存器非空),我们要产生中断,那么我们开启中断的方法是:

USART_ITConfig(USART1, USART_IT_RXNE, ENABLE);//开启中断,接收到数据中断

        我们在发送数据结束的时候(TC,发送完成)要产生中断,那么方法是:

USART_ITConfig(USART1,USART_IT_TC,ENABLE);

 

8.获取相应中断状态。

        当我们使能了某个中断的时候,当该中断发生了,就会设置状态寄存器中的某个标志位。经常我们在中断处理函数中,要判断该中断是哪种中断,使用的函数是:

ITStatus USART_GetITStatus(USART_TypeDef* USARTx, uint16_t USART_IT)

        比如我们使能了串口发送完成中断,那么当中断发生了, 我们便可以在中断处理函数中调用这个函数来判断到底是否是串口发送完成中断,方法是:

USART_GetITStatus(USART1, USART_IT_TC)

        返回值是 SET,说明是串口发送完成中断发生。

串口实验设计

硬件设计

        本实验需要用到的硬件资源有:

        1) 串口 1

        串口 1 之前还没有介绍过,本实验用到的串口 1 与 USB 串口并没有在 PCB 上连接在一起,需要通过跳线帽来连接一下。这里我们把 P6 的 RXD 和 TXD 用跳线帽与 PA9 和 PA10 连接起来。

        连接上这里之后,我们在硬件上就设置完成了,可以开始软件设计了。 

软件设计

        代码如下:

//初始化 IO 串口 1 
//bound:波特率
void uart_init(u32 bound)
{
    GPIO_InitTypeDef GPIO_InitStructure;
    USART_InitTypeDef USART_InitStructure;
    NVIC_InitTypeDef NVIC_InitStructure;

    //①串口时钟使能,GPIO 时钟使能,复用时钟使能
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1|
    RCC_APB2Periph_GPIOA, ENABLE); //使能 USART1,GPIOA 时钟

    //②串口复位
    USART_DeInit(USART1); //复位串口 1
    //③GPIO 端口模式设置
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9; //ISART1_TX PA.9
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; //复用推挽输出
    GPIO_Init(GPIOA, &GPIO_InitStructure); //初始化 GPIOA.9
 
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10; //USART1_RX PA.10
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; //浮空输入
    GPIO_Init(GPIOA, &GPIO_InitStructure); //初始化 GPIOA.10

    //④串口参数初始化
    USART_InitStructure.USART_BaudRate = bound; //波特率设置
    USART_InitStructure.USART_WordLength = USART_WordLength_8b; //字长为 8 位
    USART_InitStructure.USART_StopBits = USART_StopBits_1; //一个停止位
    USART_InitStructure.USART_Parity = USART_Parity_No; //无奇偶校验位
    USART_InitStructure.USART_HardwareFlowControl = 
    USART_HardwareFlowControl_None; //无硬件数据流控制
    USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;//收发模式
    USART_Init(USART1, &USART_InitStructure); //初始化串口

    #if EN_USART1_RX //如果使能了接收
    //⑤初始化 NVIC
    NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn;
    NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=3 ; //抢占优先级 3
    NVIC_InitStructure.NVIC_IRQChannelSubPriority = 3; //子优先级 3
    NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; //IRQ 通道使能
    NVIC_Init(&NVIC_InitStructure); //中断优先级初始化

    //⑤开启中断
    USART_ITConfig(USART1, USART_IT_RXNE, ENABLE); //开启中断
    #endif

    //⑥使能串口
    USART_Cmd(USART1, ENABLE); //使能串口
}

        此代码的编写顺序即USART的配置顺序:

        我们用标号①~⑥标 示了顺序:

        ① 串口时钟使能,GPIO 时钟使能

        ② 串口复位

        ③ GPIO 端口模式设置

        ④ 串口参数初始化

        ⑤ 初始化 NVIC 并且开启中断

        ⑥ 使能串口

        配置全双工的串口 1,那么 TX(PA9) 管脚需要配置为推挽复用输出,RX(PA10)管脚配置为浮空输入或者带上拉输入。

        模式配置参考下面表格:

        使用了串口的中断接收,必须在 usart.h 里面设置 EN_USART1_RX 为 1(默认设置就是 1 的) 。该函数才会配置中断使能,以及开启串口 1 的 NVIC 中断。这里我们把串口 1 中断放在组 2,优先级设置为组 2 里面的最低。

        接下来,根据之前讲解的步骤 7,还要编写中断服务函数。串口 1 的中断服务函数 USART1_IRQHandler。

 USART1_IRQHandler 函数

        void USART1_IRQHandler(void)函数是串口 1 的中断响应函数,当串口 1 发生了相应的中断后,就会跳到该函数执行。中断相应函数的名字是不能随便定义的,一般我们都遵循 MDK定义的函数名。这些函数名字在启动文件 startup_stm32f10x_hd.s 文件中可以找到。

        函数体里面通过函数:

if(USART_GetITStatus(USART1, USART_IT_RXNE) != RESET)

        判断是否接受中断,如果是串口接受中断,则读取串口接受到的数据:

Res =USART_ReceiveData(USART1);//(USART1->DR); //读取接收到的数据

        读到数据后接下来就对数据进行分析。

        这里我们设计了一个小小的接收协议:通过这个函数,配合一个数组 USART_RX_BUF[],一个接收状态寄存器 USART_RX_STA(此寄存器其实就是一个全局变量,由作者自行添加。由于它起到类似寄存器的功能,这里暂且称之为寄存器)实现对串口数据的接收管理。

        USART_RX_BUF 的大小由 USART_REC_LEN 定义,也就是一次接收的数据最大不能超过USART_REC_LEN 个字节。USART_RX_STA 是一个接收状态寄存器其各的定义如表 5.3.1.1 所示:

 

设计思路如下:

        当接收到从电脑发过来的数据,把接收到的数据保存在 USART_RX_BUF 中,同时在接收状态寄存器(USART_RX_STA)中计数接收到的有效数据个数,当收到回车(回车的表示由 2个字节组成:0X0D 和 0X0A)的第一个字节 0X0D 时,计数器将不再增加,等待 0X0A 的到来,而如果 0X0A 没有来到,则认为这次接收失败,重新开始下一次接收。

        如果顺利接收到 0X0A,则标记 USART_RX_STA 的第 15 位,这样完成一次接收,并等待该位被其他程序清除,从而开始下一次的接收,而如果迟迟没有收到 0X0D,那么在接收数据超过 USART_REC_LEN 的时候,则会丢弃前面的数据,重新接收。

        中断相应函数代码如下:

void USART1_IRQHandler(void)                	//串口1中断服务程序
	{
	u8 Res;
if(USART_GetITStatus(USART1, USART_IT_RXNE) != RESET)  //接收中断(接收到的数据必须是0x0d 0x0a结尾)
		{
		Res =USART_ReceiveData(USART1);	//读取接收到的数据
		
		if((USART_RX_STA&0x8000)==0)//接收未完成
			{
			if(USART_RX_STA&0x4000)//接收到了0x0d
				{
				if(Res!=0x0a)USART_RX_STA=0;//接收错误,重新开始
				else USART_RX_STA|=0x8000;	//接收完成了 
				}
			else //还没收到0X0D
				{	
				if(Res==0x0d)USART_RX_STA|=0x4000;
				else
					{
					USART_RX_BUF[USART_RX_STA&0X3FFF]=Res ;
					USART_RX_STA++;
					if(USART_RX_STA>(USART_REC_LEN-1))USART_RX_STA=0;//接收数据错误,重新开始接收	  
					}		 
				}
			}   		 
     }
}

 

 main.c中的代码

int main(void)
 {		
 	u16 t;  
	u16 len;	
	u16 times=0;
	delay_init();	    	 //延时函数初始化	  
	NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2); //设置NVIC中断分组2:2位抢占优先级,2位响应优先级
	uart_init(115200);	 //串口初始化为115200
 	LED_Init();			     //LED端口初始化
	KEY_Init();          //初始化与按键连接的硬件接口
 	while(1)
	{
		if(USART_RX_STA&0x8000)
		{					   
			len=USART_RX_STA&0x3fff;//得到此次接收到的数据长度
			printf("\r\n您发送的消息为:\r\n\r\n");
			for(t=0;t<len;t++)
			{
				USART_SendData(USART1, USART_RX_BUF[t]);//向串口1发送数据
				while(USART_GetFlagStatus(USART1,USART_FLAG_TC)!=SET);//等待发送结束
			}
			printf("\r\n\r\n");//插入换行
			USART_RX_STA=0;
		}else
		{
			times++;
			if(times%5000==0)
			{
				printf("\r\n精英STM32开发板 串口实验\r\n");
				printf("正点原子@ALIENTEK\r\n\r\n");
			}
			if(times%200==0)printf("请输入数据,以回车键结束\n");  
			if(times%30==0)LED0=!LED0;//闪烁LED,提示系统正在运行.
			delay_ms(10);   
		}
	}	 
 }

        NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2)函数, 该函数是设置中断分组号为 2,也就是 2 位抢占优先级和 2 位子优先级。

 USART_SendData(USART1, USART_RX_BUF[t]); //向串口 1 发送数据
 while(USART_GetFlagStatus(USART1,USART_FLAG_TC)!=SET);

        第一句,其实就是发送一个字节到串口。

        第二句,就是我们在我们发送一个数据到串口之后,要检测这个数据是否已经被发送完成了。USART_FLAG_TC 是宏定义的数据发送完成标识符。

下载验证

       首先打开串口调试助手。任何一个串口调试助手都是可以的。正点原子中使用的是旧版本的XCOM2.0。

         我们在程序上 面设置了必须输入回车,串口才认可接收到的数据,所以必须在发送数据后再发送一个回车符, 这里 XCOM 提供的发送方法是通过勾选发送新行实现。

         只要勾选了这个选项,每次发送数据后,XCOM 都会自动多发一个回车(0X0D+0X0A)。设置好了发送新行,我们再在发送区输入你想要发送的文字,然后单击发送,就能实现发送数据。

         发送的数据被打印出来了,说明实验成功。

  • 32
    点赞
  • 222
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
以下是stm32f103 usart1串口通讯中断接收代码: ```c #include "stm32f10x.h" #include "stdio.h" uint8_t USART1_Receive_Buffer[500]; //定义一个接收缓冲区 int USART1_Receive_Index = 0; //定义一个接收数据索引 void USART1_Configuration(void) { USART_InitTypeDef USART_InitStructure; NVIC_InitTypeDef NVIC_InitStructure; GPIO_InitTypeDef GPIO_InitStructure; RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA | RCC_APB2Periph_AFIO, ENABLE); //使能GPIO和AFIO时钟 RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1, ENABLE); //使能USART1时钟 GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9; //USART1_TX GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; //推挽输出 GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_Init(GPIOA, &GPIO_InitStructure); GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10; //USART1_RX GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; //浮空输入 GPIO_Init(GPIOA, &GPIO_InitStructure); USART_InitStructure.USART_BaudRate = 9600; //设置波特率为9600 USART_InitStructure.USART_WordLength = USART_WordLength_8b; //数据位长度为8位 USART_InitStructure.USART_StopBits = USART_StopBits_1; //停止位为1位 USART_InitStructure.USART_Parity = USART_Parity_No; //无奇偶校验位 USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;//无硬件流 USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;//串口发送接收模式为同时接收和发送 USART_Init(USART1, &USART_InitStructure); USART_ITConfig(USART1, USART_IT_RXNE, ENABLE);//使能接收中断 NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn;//设置中断组和通道号 NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;//使能接收中断通道 NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 2;//抢占优先级为2 NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0;//响应优先级为0 NVIC_Init(&NVIC_InitStructure); USART_Cmd(USART1, ENABLE);//使能USART1外设 } void USART1_IRQHandler(void) { if (USART_GetITStatus(USART1, USART_IT_RXNE) == SET) //判断是否接收到数据 { USART1_Receive_Buffer[USART1_Receive_Index++] = USART_ReceiveData(USART1); //接收数据,存入缓冲区 if (USART1_Receive_Index >= 500) //如果接收到的数据超出缓冲区大小 { USART1_Receive_Index = 0; //重置索引 } } } int main() { USART1_Configuration(); while (1) { if (USART1_Receive_Index) //如果接收到数据 { USART_SendData(USART1, USART1_Receive_Buffer[USART1_Receive_Index - 1]); //发送上一次接收到的数据 USART1_Receive_Index--; //接收数据索引减1 } } } ``` 在该代码中,首先对USART1进行了初始化配置,包括GPIO配置、波特率、数据位、停止位、校验位、硬件流控制等,以及开启中断并设置中断优先级。然后在中断处理函数中判断是否接收到数据,如果接收到数据,则将数据存入接收缓冲区中。最后在while循环中对接收缓冲区进行处理,将接收到的数据原样发送回去。 需要注意的是,接收中断是在USART1的接收寄存器接收到数据后触发的,需要在中断处理函数中进行判断和处理。同时,在处理接收到的数据时,需要判断缓冲区是否已满,避免数据溢出。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

狂飙的犇牛

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值