Quartus经验!

目录

经验1:

经验2:

 经验3:


经验1:

问题:romip核仿真出不来数据,从ip核看,mif文件也有,里面也有数据

 解决方法:重新制定ip核中的mif文件路径,并将ip核版本升级或者重新生成一下,与软件版本保持一致即可。

经验2:

quartus9.1中默认的仿真是时序仿真,改成功能仿真步骤,然后要选择生成功能仿真网表文件就可以进行功能仿真了!

 经验3:

ROM核地址位宽为【7:0】,但是输入地址位宽为【4:0】,虽然地址可以正常显示,但是rom输出数据均为高阻态;

解决方法:将输入地址位宽改为【7:0】即可!

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值