自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 收藏
  • 关注

原创 2021-06-29

1.实验目的:下载Quartus软件与Modlsim并进行Verilog Modelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验代码:module Latched_Seven_Seg_Display( output reg [6: 0] Display_L, Displa...

2021-06-29 23:04:10 151

原创 2021-06-29

1.实验目的:下载Quartus软件与Modlsim并进行Verilog Modelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验代码:module upcount (R, Resetn, Clock, E,L,Q);input [3:0] R;input Resetn, .

2021-06-29 22:50:22 312

原创 数字电路期末二

1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验代码:①moduletbs51;reg[7:0]add1,add2;regclock;regadd_cin;wire[7:0]add_su...

2021-06-27 21:39:24 135

原创 数字电路期末一

1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验代码:moduleupcount(R,Resetn,Clock,E,L,Q);input[3:0]R;inputResetn,Cloc...

2021-06-27 21:34:01 154

原创 数字电路实验十

1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验代码:modulediv2(clk,reset,start,A,B,D,R,ok,err);parametern=32;...

2021-06-25 21:36:03 183

原创 数字电路实验二

1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验代码:modulemy_rs(reset,set,q,qbar);inputreset,set;outputq,qbar;nor#(1...

2021-06-25 21:31:03 164

原创 数字电路实验九

1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验代码:moduleex8_1(clock,reset,x,y1,y2);inputclock,reset;inputx;outputy...

2021-06-25 21:25:59 142

原创 11次实验

1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验视屏:实验117.实验代码:modulep2s(data_in,clock,reset,load,data_out,done)...

2021-06-04 15:49:44 105

原创 10次实验

1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6:实验视屏:实验107.实验代码:moduledecoder3x8(din,en,dout,ex);input[2:0]din;...

2021-06-04 15:43:55 129

原创 数字电路第九次实验

1.实验目的:下载Quartus软件与Modlsim并进行主从D触发器的门级建模仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验视频:97‘实验代码:moduleMSDEF(Q,Qbar,D,C);outputQ,Qbar;inputD,C;...

2021-05-28 17:50:23 143

原创 数字电路第八次实验

1.实验目的:下载Quartus软件与Modlsim并进行 Modelsim工程仿真2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验视频:第八次实验7.实验代码:moduletest;wiresum,c_out;rega,b,c_in;fulladdfadd(...

2021-05-28 17:43:00 103

原创 数字电路第六次实验

1.实验目的:下载Quartus软件与Modlsim,建立一个2-4译码器的门级模型2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。...

2021-05-21 18:38:12 207

原创 数字电路第五次实验

1.实验目的:下载Quartus软件与Modlsim,建立一个2-4译码器的门级模型2.实验内容:参考老师发的视频的代码,然后用Quartusii和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验视频:...

2021-05-21 18:21:41 174

原创 第四次实验

4位加法器的门级建模1.实验目的:下载Quartus软件与Modlsim并进行VerilogModelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验视频:电路实验电路数字实验7.实验代码:modulefulladd(S,Cout,Cin,A,B);...

2021-05-07 22:29:25 136

原创 第三次实验

Verilog Modelsim仿真1.实验目的:下载Quartus软件与Modlsim并进行Verilog Modelsim仿真2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。4.实验工具:Quartus软件、Modlsim软件、pc机。5.实验截图:6.实验视频:电路数字实验7.实验代码:modulefulladd(sum,c_out,a,b,c_in);...

2021-05-07 21:48:13 144 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除