自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 资源 (2)
  • 收藏
  • 关注

原创 51单片机外部中断实例

题目: 采用外部中断0(INT0),优先级为高。在没有外部中断时使发光二极管正反循环流水点。在中断服务程序中使发光二极管交替循环点亮10次使用keli编程、使用proteus仿真代码如下:#include<reg51.h>#include<stdio.h>#define uchar unsigned char#define uint unsigned intuchar light[]={0x01,0x02,0x04,0x08,0x10,0x20...

2022-04-07 17:27:17 4466 5

原创 关于VHDL语言书写格式的学习(使用quartus Ⅱ)

本文并不是对VHDL的系统的讲解,而是我认为的关键部分,知道了这些,基本上可以使用VHDL语言进行一些相应的设计。并且在使用的过程中发现问题,再进行一些相应的检索,深入学习,最后达到精通。首先要明白VHDL是硬件语言,相较于c语言等编程语言较为死板,有某些特定的要求,比如你选用的芯片,它的逻辑单元数量是固定的,所以你编写的代码所用的逻辑单元不能超过它固有的逻辑单元。其次要明白我们学习VHDL语言是为了服务于硬件,通俗来讲就是要让你的硬件按照你的想法动起来,所以我们编写...

2022-01-29 20:17:08 2693

原创 quartus Ⅱ下载资源包

网盘链接:https://pan.baidu.com/s/1_WkC3D-kdt957vGzeThs7w?pwd=hhxx提取码:hhxx

2022-01-21 15:57:36 625

原创 七段数码管、点阵以及按键开关的使用和编程(使用quartus)

其原理如图数码管的具体使用根据其管理图比如说我想点亮显示一个3,我只需要把a、b、c、d、g设置成高电平,把其余设置成低电平即可。效果图如下以后所用的译码代码如下:p4:process(num)--num为要显示的数字、abc为段选 begin if(num=0) then abc<="0000001"; elsif(num=1) then abc<="1001111"; elsif(num=2) then abc<="0010010"..

2022-01-21 15:50:58 3667

原创 VHDL语言设计8421码加法器(使用quartus)

我使用的芯片如图所示什么是8421码? 8421码又称为BCD码,是十进制代码中最常用的一种 [2] 。. 在这种编码方式中,每一位二值代码的"1"都代表一个固定数值。. 将每位"1"所代表的二进制数加起来就可以得到它所代表的十进制数字。. 因为代码中从左至右看每一位"1"分别代表数字"8""4""2""1",故得名8421码。. 其中每一位"1"代表的十进制数称为这一位的权。设计要求:两个一位的8421码相加,在七段数码管上显示出加数、被加数以及结果。...

2022-01-21 15:35:24 5633 5

原创 VHDL模拟1秒时钟(使用quartus)

我使用的芯片如图所示该芯片的系统时钟是2.048MHz,使用该系统时钟模拟出1Hz的时钟。代码如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity monishizhong is port ( clk:in std_logic; clkclk:buffer std_logic --此处的buffer有

2022-01-21 15:06:52 1839 3

频率计设计(纯数字电路)protues仿真

a) 使用4060进行分频产生1024Hz、512Hz、256Hz、128Hz、64Hz、32Hz、8Hz、4Hz、2Hz的方波信号 b) 使用4027继续分频产生1Hz、0.5Hz、0.25Hz、0.125Hz c) 使用74ls192进行计数一秒内的脉冲数而一秒内的周期数,即是所测信号的频率。这就要求所测信号要与0.5Hz的信号进行与运算,这样在1s内的脉冲数就是频率。在一次计数完成后需要进行计数清零,便可以完成信号频率的测量。 d) 使用74ls48驱动数码管显示出频率。

2022-11-17

VHDL数字迷宫自主设计报告

使用VHDL语言设计并调试数字迷宫,并下载到FPGA板上调试

2022-01-29

quartus VHDL设计点阵显示汉字滚动

本文章设计的是“吴志坚”三个汉字,资源分为分屏、分位、译码、编码等几个部分

2022-01-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除