VHDL模拟1秒时钟(使用quartus)

我使用的芯片如图所示

该芯片的系统时钟是2.048MHz,使用该系统时钟模拟出1Hz的时钟。

代码如下

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity fenpingqi is
port(
	clk:in std_logic;
	clkclk:buffer std_logic; --此处的buffer有利于此后使用该时钟,buffer是可反馈的类型	
	);

end fenpingqi;

architecture wzj of fenpingqi is

signal i:integer range 0 to 2048000;

begin  

process(clk)
begin

	if(clk'event and clk='1')then
		i<=i+1;
	if(q<1024000)then
		clkclk<='0';
	elsif(2048000>q and q>1024000)then
		clkclk<='1';
	elsif(q=2048000)then
		i<=0;
end if;

end if;

end process;

end fenpingqi;

有疑问可以评论,我会及时回复

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值