FPGA_格雷码与二进制互换

介绍

格雷码:从一个数变为相邻的一个数时,只有一个数据位发生跳变,由于这种特点,就可以避免二进制编码计数组合电路中出现的亚稳态。(避免亚稳态

常用于通信,FIFO 或者 RAM 地址寻址计数器中。

1,二进制转格雷码

二进制的最高位作为格雷码的最高位,次高位的格雷码为二进制的高位和次高位相异或得到,其他位与次高位类似。

//二进制转格雷码
module bin2gray
#(
    parameter    data_width  = 'd4            //位宽
)
(
    input    [data_width - 1 : 0]    bin    ,      //二进制
    output    [data_width - 1 : 0]    gray    //格雷码    
); 
 
assign gray = (bin >> 1) ^ bin;
 
endmodule

2,格雷码转二进制

使用格雷码的最高位作为二进制的最高位,二进制次高位产生过程是使用二进制的高位和次高位格雷码相异或得到,其他位的值与次高位产生过程类似。

//格雷码转二进制
module gray2bin
#(
    parameter    data_width  = 'd4                        //位宽
)            
(            
    input    [data_width - 1 : 0]    gray,                 //格雷码
    output     [data_width - 1 : 0]    bin                    //二进制
); 
 
assign bin[data_width - 1] = gray[data_width - 1];        //最高位相等


//从次高位到0,二进制的高位和次高位格雷码相异或
genvar i;
generate
    for(i = 0; i <= data_width-2; i = i + 1) 
        begin: gray                                        //begin end 的名字
            assign bin[i] = bin[i + 1] ^ gray[i];
        end
endgenerate
 
endmodule

以上内容参考CSDN大佬  孤独的单刀

Verilog实现的格雷码与二进制码的互相转换-CSDN博客

  • 7
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
FPGA独热码到二进制的转换是将独热码表示的状态转换为对应的二进制表示。独热码是一种编码方式,其中每个状态对应一个唯一的比特位,只有对应的比特位为1,其他位都为0。而二进制码是我们常见的以2为基数的表示方式,由0和1组成。 转换的原理是将独热码中唯一为1的比特位的位置找出来,然后将这个位置的索引值转换为对应的二进制表示。例如,如果独热码中的第3位为1,那么对应的二进制码就是001,如果第5位为1,对应的二进制码就是00010。 在FPGA中,可以使用逻辑门电路或FPGA内部的Look-Up Table(LUT)实现独热码到二进制的转换。通过对输入的独热码进行解码,找到唯一为1的比特位的位置,然后将该位置的索引值转换为二进制表示。 总结起来,FPGA独热码到二进制的转换是通过将独热码中唯一为1的比特位的位置转换为对应的二进制表示来实现的。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [独热码转二进制码(含代码)](https://blog.csdn.net/weixin_42330305/article/details/128706086)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [二进制码与格雷码PK](https://download.csdn.net/download/weixin_38622611/12631181)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值