华清远见重庆中心——Java基础阶段个人总结

        在本周的课程学习中,学会了如何使用IDEA软件,熟练一些IDEA软件的操作快捷键。本周学习了运算符号,循环语句以及数组等,通过老师的讲解和每次课下的练习作业,不断的加深印象,提高代码量,对Java的基础知识有了更加熟练的掌握。以下是我对本周学习的知识总结。

1:什么是注释?注释分为几种?注释有什么作用?

        注释就是对代码的解释说明性文字,分为三种:单行注释、多行注释、文档注释。注释可以对程序进行说明,给人看,还可以对程序进行排错。

2:什么是标识符?由哪些部分组成?常见的命名规则有哪些?

       标识符就是给类、接口、方法、变量名起的名字。常见的规则有:有数字、字母、_以及$符号组成,不能以数字开头,不能是java中的关键字。

3:Java中的数据类型分几类?基本数据类型有哪些?

       基本类型:4类8种。基本数据类型有:整数(byte,short,int,long)、浮点数(float,double)、字符(char)、布尔(boolean)。

4:循环语句有哪些?

       for(先执行初始化,再判断布尔表达式,再执行循环体,最后递增表达式);while(while(ture)与for(,,)等效);do....while(至少循环一次);foreach对数组与集合的循环可以使用(也叫增强循环);if...else...;switch(遇到break后,跳过后面的case语句)

5:排序

冒泡排序方法


       int[] number={15,22,36,9,45,13,-1};
      //外层循环表示比较轮数
       for (int i=1;i<=number.length-1;i++){
           //内循环表示每轮比较的次数
           for (int j=1;j<=number.length-i;j++){
               if (number[j-1]>number[j]){
                   //数组元素比较后换位
                   int list=number[j-1];
                   number[j-1]=number[j];
                   number[j]=list;
               }
           }
       }
       for (int i:number){
           System.out.println(i);
}
  /* Java中提供了一个数组的工具类Arrays,其中包含了很多处理数组的方法,
   如排序,升序调Arrays.sort(数组名称)即可; */

     

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
简介: FPGA技术经过20多年的发展,现在已经被应用到各个领域,并且正在逐渐成为越来越多领先技术的系统级解决方案。为了帮助从事或者即将从事FPGA设计的工程师尽快的了解FPGA技术,掌握设计的方法和手段,华清远见针对FPGA的初学者设计了这个视频教程。通过对FPGA技术的阐述,分析典型器件的特点,教授FPGA设计的常用方法及开发的基本流程,并通过简明合理的实验,帮助学员掌握相关设计工具的使用方法及FPGA硬件平台的常用调试手段。 本课程将对FPGA的相关基础概念、工艺特点、FPGA基本结构及选型策略进行讲解,结合开发工具及硬件平台使学员掌握FPGA的设计方法和设计流程。在基础方面,掌握FPGA的结构特点及典型型号;在硬件设计方面,掌握FPGA PCB设计的要点;逻辑设计方面,掌握Verilog语言设计的要点;在调试方面,掌握工具的基本操作及常用软硬件协同调试手段。 主讲人:姚远老师,华清远见高级讲师,北京航空航天大学自动化专业博士学位,有8年的FPGA和DSP系统硬件开发经验,成功开发了卫星载超高速图像压缩系统、红外图像采集压缩和传输系统、基于MPEG4/H.264的网络监控系统、高速(1GHz)并行(4颗)DSP图像处理系统,超大规模高速500万门FPGA验证平台(Altera StratixII EP2S180)。 主要内容: 1、红色飓风FPGA入门普及活动 第一讲、FPGA设计基础 第二讲、FPGA设计入门 第三讲、VerilogHDL基础 第四讲:Verilog HDL中的组合逻辑设计方法 第五讲:ModelSim软件使用方法和技巧 第六讲、Sopc硬件系统 第七讲、sopc软件系统 2、红色飓风FPGA入门普及活动 第1讲:FPGA系统设计基础 第2讲:从零开始设计FPGA最小系统一:核心电路 第3讲:从零开始设计FPGA最小系统二:外围电路 第4讲:Verilog HDL语法一:常用语句 第5讲:Verilog HDL语法二:任务与函数 第6讲:Verilog HDL语法三:有限状态机 第7讲:QuartusII 7.2设计入门 第8讲:NIOSII 7.2设计入门 第9讲:FPGA系统设计技巧-乒乓操作 第10讲:FPGA设计常用IP核-锁相环 3、其它 2006年5月27日姚老师《FPGA技术与数字系统设计基础》视频 2006年9月17日姚老师《基于FPGA的数字视频图像处理系统设计方法》视频 2007年4月21日姚老师《FPGA在视频图像处理领域的应用》视频 2007年7月14日姚老师《FPGA硬件最小系统设计》视频 2007年8月25日陆老师《FPGA系统设计的主要思路和方法初探》视频 2008年5月24日潘老师《Xilinx FPGA开发要点介绍》视频 2008年5月24日姚老师《Altera FPGA的选型及开发》视频 2008年5月24日姚老师《FPGA在视频处理领域的应用》视频 2008年11月01日陆阳老师《FPGA设计的良好设计方法及误区》视频 2008年11月29日姚远老师《FPGA的高端应用案例》视频

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值