头歌计算机组成原理实验—运算器设计(8)第8关:乘法流水线设计

第8关:乘法流水线设计

实验目的

学生掌握运算流水线基本概念,理解将复杂运算步骤细分成子过程的思想,能够实现简单的乘法运算流水线。

视频讲解

实验内容

在 Logisim 中打开 alu.circ 文件,在6位补码阵列乘法器中利用5位阵列乘法器以及求补器等部件实现补码阵列乘法器,实验框架如图所示:

电路框架

alu.circ

电路引脚

信号输入/输出位宽说明
X输入5 位被乘数
Y输入5 位乘数
P2输出10 位第 2 步部分积输入
P3输出10 位第 3 步部分积输入
P4输出10 位第 4 步部分积输入
P5输出10 位第 5 步部分积输入

电路测试

完成实验后,利用文本编辑工具打开 alu.circ 文件,将所有文字信息复制粘贴到 Educoder 平台的 alu.circ 文件中,再点击评测按钮即可进行本关测试。平台会对你设计的电路进行自动测试,为方便测试,请勿修改子电路封装,本关测试用例如下:

 
  1. Cnt X Y P2 P3 P4 P5
  2. 00 1e 1f 000 000 000 000
  3. 01 18 02 05a 000 000 000
  4. 02 03 01 030 0d2 000 000
  5. 03 1e 00 003 030 1c2 000
  6. 04 0c 09 000 003 030 3a2
  7. 05 14 01 00c 000 003 030
  8. 06 06 03 014 00c 000 003
  9. 07 00 0c 012 014 06c 000
  10. 08 00 0e 000 012 014 06c
  11. ...

开始你的闯关任务吧,升级打怪中....

参考答案:

直接复制代码,字数太多,发不了,后续会上传文件

参考电路图

如果对你有所帮助,感谢点赞加收藏!

完整的代码可以到【WRITE-BUG数字空间】我的个人学习圈查看。

附上链接:

https://www.writebug.com/article/d599cc52-f627-11ed-ada1-0242ac1a0006icon-default.png?t=N7T8https://www.writebug.com/article/d599cc52-f627-11ed-ada1-0242ac1a0006

  • 6
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
MIPS运算器设计是《计算机组成原理实验运算器设计》课程的第11,要求我们设计一个MIPS架构的运算器。 MIPS是一种精简指令集(Reduced Instruction Set Computing,RISC)的计算机体系结构,它的设计简洁高效,广泛应用于嵌入式系统和超级计算机等领域。 在MIPS运算器设计中,我们需要实现MIPS指令集中的各种运算指令,如加法、减法、乘法、除法等。为了完成这个任务,我们需要设计具有相应功能的算术逻辑单元(ALU)和控制电路。 首先,我们需要设计一个ALU,它能够执行MIPS指令集中的运算指令。ALU由多个功能模块组成,包括加法器、减法器、乘法器、除法器等。这些模块可以并行工作,从而提高运算速度。 其次,我们还需要设计一个控制电路,负责根据指令对ALU进行控制。控制电路会解析指令,并根据指令的操作码和操作数选择合适的功能模块进行计算。 在MIPS运算器设计中,还需要考虑数据的存储和传输。我们可以通过寄存器文件来存储数据,通过数据通路将数据传输到ALU进行运算。数据通路也可以连接其他部件,如存储器、输入输出设备等。 最后,为了验证我们的设计是否正确,我们需要进行功能仿真和时序仿真。通过仿真,我们可以验证MIPS运算器的正常工作和计算的准确性。 总的来说,MIPS运算器设计是一项复杂而具有挑战性的任务。在这个过程中,我们将学习到MIPS架构的特点,掌握ALU设计和控制电路设计的方法,提高我们的计算机组成原理实验能力。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值