FPGA:计算滑动求和----信号检测计算信号功率

FPGA:计算滑动求和----信号检测计算信号功率

在进行简单信号检测过程中,需要计算信号的能量,这时候需要用到滑动求和的计算过程。
这里记录如何利用Verilog编写滑动求和过程。

思路:滑动求和的过程需要按照滑动窗口的大小,依次向后进行滑动求和;

从任务出发:输入IQ两路信号的位宽为12位,然后计算K个值区间段的功率值大小;
(1) 首先对I,Q两路信号求平方计算,得到平方的结果,这时候位宽大小变为24位;
(2) 求I,Q两路信号平方和,定义变量sum_s,表示单一点的信号平方和值,位宽为25位;
(3) 计算一段时间的平方和大小,sum=sum+sum_s,做和累加,这里面K的个数可以取2的指数次,方便通过移位做除法,同时sum的位宽也容易定义,如K设置为512,sum位宽取35位;
(4) 通过fifo实现活动取数的过程,前512个数据单独累加求和,第513个数据通过fifo输出,利用总和减去第一个数,这里面利用fifo先进先出的特性,控制fifo存入K个数据之后,每次取出一个数据之后,再写入另一个数据,这样就可以滑动计算结果求和;
(5) 注意设置fifo输入位宽为sum_s位宽,fifo输出为sum_f,表示滑动求和的第一个数据,fifo深度大小大于K值。

以下为程序代码:

//
// Company: 
// Engineer: 
// 
// Create Date: 2022/10/24 19:48:05
// Design Name: 
// Module Name: D_energy
// Project Name: 
// Target Devices: 通过滑动求和计算求信号功率
// Tool Versions: 
//


module D_energy(
    input l_clk,
    input rst_n,        //低电平有效(复位)
    input [11:0] i_da,
    input [11:0] q_da,
    output[24:0] d_out,   // 输出求和平均 (平方和的平均)
    output valid);        // 输出信号有效


localparam K_LENGTH = 16'd512;  //设定滑动窗长度 这个值一定大于fifo的深度

// 求信号的平方项
wire [23:0]i_da2,q_da2;
mult12a12 u0 (
  .CLK(l_clk),  // input wire CLK
  .A(i_da),      // input wire [11 : 0] A
  .B(i_da),      // input wire [11 : 0] B
  .P(i_da2)      // output wire [23 : 0] P
);
mult12a12 u1 (
  .CLK(l_clk),  // input wire CLK
  .A(q_da),      // input wire [11 : 0] A
  .B(q_da),      // input wire [11 : 0] B
  .P(q_da2)      // output wire [23 : 0] P
);

reg [34:0]sum;   //用来计算累加和
wire [24:0] sum_s;  
wire [24:0] sum_f;  //fifo输出的结果,相当于第一个结果,需要在减法的过程中减去这个结果
assign sum_s = i_da2 + q_da2;  //单次求和

reg [15:0] cnt_sum;  //用来记录第一个累加环节
always@(posedge l_clk)begin
    if(!rst_n)begin
        cnt_sum <= 16'b0;
    end
    else begin
        cnt_sum <= cnt_sum + 16'b1;
    end
end
// 计算前K个数据的和的大小
reg valid_temp;

// 用状态机实现活动辅助
wire fifo_full;
wire fifo_empty;
wire fifo_prog_full;
reg state;
reg rd_valid; //控制读数
always@(posedge l_clk)begin
    if(!rst_n)begin
        state <= 1'b0;
        sum <= 35'b0;
        valid_temp <= 1'b0;
        rd_valid <= 1'b0;
    end
    else begin
        case(state)
        1'b0: begin
            sum <= sum + {{10'b0},sum_s};
            if(cnt_sum >= (K_LENGTH-2))begin   //控制着循环累加的长度,k+2;
                state <= 1'b1;
            end         
        end
        default: begin
            sum <= sum + sum_s - {{10'b0},sum_f};  //加上最新的数据,减去最后的数据
            valid_temp <= 1'b1;
            rd_valid <= 1'b1;
        end
        endcase
    end
end

//滑动相关利用fifoIP 核实现滑动计算过程,
fifo_generator_0 fifo_u0 (
  .clk(l_clk),              // input wire clk
  .srst(!rst_n),            // input wire srst
  .din(sum_s),              // input wire [23 : 0] din
  .wr_en(1'b1),          // input wire wr_en
  .rd_en(rd_valid),          // input wire rd_en
  .dout(sum_f),            // output wire [23 : 0] dout
  .full(fifo_full),            // output wire full
  .empty(fifo_empty),          // output wire empty
  .prog_full(fifo_prog_full)  // output wire prog_full
);

//输出滑动求和取平均的结果
assign d_out = sum[34:10];
assign valid = valid_temp;

//利用fifo 进行
Endmodule

IP核配置简单如图

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

测试仿真代码如下


`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/10/25 10:02:58
// Design Name: 
// Module Name: top_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module top_tb();

reg l_clk;
reg rst_n;
reg [11:0]i_data,q_data;
wire [24:0] data_out;
wire dout_valid;

//生成输入到计算模块中的数据,
always@(posedge l_clk)begin
    if(!rst_n)begin
        i_data <= 12'b0;
        q_data <= 12'b0;
    end
    else begin
        i_data <= i_data + 12'b1;
        q_data <= q_data + 12'b1;
    end
end


D_energy D_en(
    .l_clk(l_clk),
    .rst_n(rst_n),
    .i_da(i_data),
    .q_da(q_data),
    .d_out(data_out),   // 输出求和平均
    .valid(dout_valid));  


initial l_clk = 1;
always #20 l_clk= !l_clk;  //15.625   
always #10 data_in = 16'b100; 
initial begin
    rst_n <= 0;
    #320;
    rst_n <= 1;

    //#50000000;
    #320;
    $stop;
end

endmodule

最终实验结果为

在这里插入图片描述
在测试验证的时候为了方便,把k值取为4其中的sum_s 每相邻的四个值加和结果为下一个时钟的sum值。

  • 5
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
抱歉,我无法提供5系列FPGA数据手册的中文翻译版。但是,根据引用,您可以参考Spartan-7 FPGA的数据手册来获取关于7系列FPGA的详细信息。此外,引用还提到了可以从第三方EDA供应商和FPGA供应商处获得综合工具的信息,这可能对您的翻译需求有所帮助。123 #### 引用[.reference_title] - *1* [Xilinx 7系列FPGA数据手册:概述--中文版](https://blog.csdn.net/qq_37659014/article/details/123034799)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}} ] [.reference_item] - *2* [FPGA原型验证手册:第三章-今天的FPGA技术:芯片和工具(二)](https://blog.csdn.net/weixin_45264425/article/details/129942582)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}} ] [.reference_item] - *3* [7系列FPGA数据手册:概述------中文翻译版](https://blog.csdn.net/qq_37654178/article/details/112243517)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

一支绝命钩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值