Error casting p_sequencer, please verify that this sequence/sequence item is intended to execute

1、在搭建UVM环境的时候出现这个错误,发现seq0里面  调用了`uvm_declare_p_sequencer(my_vsqr) 和seq0.start(p_sequencer.p_sqr0);不一致导致的,应该传入的sequencer是env.vsqr(其中vsqr是my_vsqr使用factor机制注册的新句柄)

例如sequence里面用的是

`uvm_do_on_with(trans, p_sequencer.sqr, {xxx});

也就是说用了p_sequencer中的sqr来发sequence,所以在外面使用sequencer来发包的时候,需要指定env中的v_sqr(v_sqr在env中声明例化),这个时候,sequence就能自动找到v_sqr中对应的sequencer了

评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值