systemverilog怎么使用function的return以及给task返回值

systemverilog的return默认就是function的name,代码如下,但是这种情况只能返回一个值无法返回多个值,如果有网友会多个返回值的可以在留言里面分享一下

class ecc_code;
    int ab;

    function bit[15:0] EDO(bit [10:0] EDI);
        EDO[0] = EDI[0]^EDI[1]^EDI[2]^EDI[4]^EDI[5]^EDI[7]^EDI[10];
        EDO[1] = EDI[0]^EDI[1]^EDI[3]^EDI[4]^EDI[6]^EDI[8]^EDI[10];
        EDO[2] = EDI[0]^EDI[2]^EDI[3]^EDI[5]^EDI[6]^EDI[9]^EDI[10];
        EDO[3] = EDI[0];
        EDO[4] = EDI[1]^EDI[2]^EDI[3]^EDI[7]^EDI[8]^EDI[9]^EDI[10];
        EDO[5] = EDI[1];
        EDO[6] = EDI[2];
        EDO[7] = EDI[3];
        EDO[8] = EDI[4]^EDI[5]^EDI[7]^EDI[8]^EDI[9]^EDI[10];
        EDO[9] = EDI[4];
        EDO[15] = EDI[10];
    endfunction

    function bit [10:0] DDO(input bit [15:0] XI);
        bit [4:0] nc;
        bit a1;
        bit c1;
    endfunction
endclass

ecc_code ecc;
initial begin
    ecc = new();
    ecc.ab = ecc.EDO(10);
    $display("ab value is %0h", ecc.ab);
end

task的得到返回值的方式如下

module sv_task;
  int x;

  //task to add two integer numbers.
  task sum;
    input int a,b;
    output int c;
    c = a+b;   
  endtask

  initial begin
    sum(10,5,x);
    $display("\tValue of x = %0d",x);
  end
endmodule

或者是如下所示,通过调用read会把数据status_value传给a

virtual task read( output int read_data);
    bit [31:0] status_value;
    ahb_write(addr, status_value);
    status_value=read_data
endtask

int a;

read(a);
$display("a value =%0h", a);


还有一种方法,利用ref类型直接改变指针的值
virtual task read( ref int read_data);
    read_data = 0;
endtask

int a;

read(a);
$display("a value =%0h", a);

SystemVerilog提供了一个ref关键字作为函数参数的前缀。当使用ref时,表明参数是使用引用传递,'ref’语法类似C++中的引用。

有两种情况下使用’ref’做参数比较有意义:
第一种情况:由于函数只能有一个返回值(不考虑传统Verilog上的input/output参数端口声明),任务没有返回值。当函数需要返回多个值或者任务需要返回一个以上值的时候,通过引用传递就用得上。
第二种情况是运行效率的考虑:当大量的数据需要作为参数传递的时候,值传递效率很低。所有的数据需要在每次函数调用的时候被复制。如果参数使用’ref’前缀,可以不需要进行数据复制。但是这样会使得参数的数据容易被函数/任务中的代码修改。此危险可以通过声明ref参数为常量来解决.

  • 4
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值