2021-06-04

一、实验名称

1、Verilog HDL测试模块      2、时序逻辑的测试模块

二、实验步骤

在Modelsim中创建工程,向工程添加文件,编译设计文件,运行仿真,调试结果

三、实验代码

(1)

module decoder3x8(din,en,dout,ex);
input[2:0]din;
input en;
output[7:0]dout;
output ex;
reg[7:0]dout;
reg ex;
always @(din or en)
if(en)
begin
dout=8'b1111_1111;
ex=1'b1;
end
else
begin
case(din)
3'b000:begin
dout=8'b1111_1110;
ex=1'b0;
end
3'b001:begin
dout=8'b1111_1110;
ex=1'b0;
end
3'b010:begin
dout=8'b1111_1011;
ex=1'b0;
end
3'b011:begin
dout=8'b1111_0111;
ex=1'b0;
end
3'b100:begin
dout=8'b1110_1111;
ex=1'b0;
end
3'b101:begin
dout=8'b1101_1111;
ex=1'b0;
end
3'b110:begin
dout=8'b1011_1111;
ex=1'b0;
end
3'b111:begin
dout=8'b0111_1111;
ex=1'b0;
end
default:begin
dout=8'b1111_1111;
ex=1'b0;
end
endcase
end
endmodule


module tbdecoder;
reg[2:0]din;
reg en;
wire[7:0]dout;
wire ex;
initial
begin
#10 en=0;din=3'b000;
#10 en=0;din=3'b001;
#10 en=0;din=3'b010;
#10 en=0;din=3'b011;
#10 en=0;din=3'b100;
#10 en=0;din=3'b101;
#10 en=0;din=3'b110;
#10 en=0;din=3'b111;
#10 en=0;din=3'b1x1;
#10 en=1;din=3'b000;
#10 en=1;din=3'b001;
#10 en=1;din=3'b010;
#10 en=1;din=3'b100;
#10 en=1;din=3'b101;
#10 en=1;din=3'b110;
#10 en=1;din=3'b111;
#10 $stop;
end
decoder3xB idecoder(din,en,dout,ex);
endmodule

 

(2)

module p2s(data_in,clock,reset,load, data_out,done);
input [3:0] data_in;
input clock, reset ,load;
output data_out;
output done;  
reg done;
reg [3:0]temp;
 reg [3:0]cnt;
always @(posedge clock or posedge reset )
 begin
if(reset)
begin
temp<=0;
cnt<=0;
done<=1;
end
else if(load)
begin
temp<=data_in;
cnt<=0;
done<=0;
end
else if(cnt==3)
begin
temp <= {temp[2:0],1'b0};
cnt<=0;
done<=1;
end
else
begin
temp <= {temp[2:0],1'b0};
cnt<=cnt+1;
done<=0;
end
end
assign data_out=(done==1)?1'bz:temp[3];
endmodule

四、实验截图

(1)

 

(2)

 

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值