学生时代
零零碎碎的知识
sanzhong104204
123…
展开
-
matlab初学笔记1-使用数组
clc clear all%直接输入法产生一个矩阵arr_1 = [2 pi/2 sqrt(3) 3+5i]%冒号生成法产生矩阵 ":"arr_2 = 0:1:10%linspace 定点线性采样法产生定点矩阵 arr_3 = linspace(0,100,10)%logspace 对数定点采样arr_4 = logspace(1,3,10)%rand 产生 1*5 随机矩阵原创 2012-06-20 19:49:06 · 879 阅读 · 0 评论 -
write a program to produce a truth table.
要求:程序接受命题公式输入,输出是该公式对应的真值表;能够处理的连接词至少包括合取、析取、否定、和括号。使用C语言编程;提交源代码(要求有注释)和可执行文件。程序代码:// sg12225028.cpp : Defines the entry point for the console application.//#include "stdafx.h"#include原创 2013-06-07 09:39:17 · 850 阅读 · 0 评论 -
链地址散列表
// hash.cpp : Defines the entry point for the console application.//#include "stdafx.h"#include #include #define LEN 12typedef struct tagHashNode{ int value; struct tagHashNode *pNext;}HA翻译 2013-09-24 22:48:16 · 756 阅读 · 0 评论 -
一套通用单链表操作,使用中如遇bug请留言,谢谢
/****************************************************************************** Copyright (C), NONE ****************************************************************************** File Name原创 2014-08-20 17:40:47 · 807 阅读 · 0 评论 -
数学推理题 9顶帽子 小明
【题目】小明和8个好朋友去王老师家玩,王老师给每人发了一顶帽子,并在每个人的帽子上写了一个两位数,这9个两位数互不相同,且每个小朋友只能看见别人帽子上的数。王老师在纸上又写了一个数A,问这九个同学:“你知道不知道自己帽子上的数能否被A整除?知道的请举手。”结果有4人举手。王老师又问:“现在你知不知道自己帽子上的数能否被24整除?知道的请举手。”结果有6人举手。已知小明两次都举手了,并且这九个小朋友转载 2013-05-15 21:15:13 · 2566 阅读 · 0 评论 -
Caesar解密-number theroy
原题如下所述: 1. It is known that the following crypted paragraph is encryted using a slighted enhanced Caesar encryption method of the form f(p)=(ap+b)mod29. jgc!.chr, dhdw,nbn bn kdncy oh原创 2013-06-07 09:23:31 · 5811 阅读 · 0 评论 -
几种常用排序算法的实现
几种常用的排序算法转载 2012-12-22 13:51:33 · 698 阅读 · 0 评论 -
Android中Battery电量上报流程分析01-整体架构拆解
本文对电池电量上报的整个流程进行第一层分解,将整个过程分解到Android的不同层次。原创 2017-06-13 01:04:24 · 3767 阅读 · 3 评论 -
Android 打开闪光灯关键代码-转载
Android 打开闪光灯关键代码转载 2015-11-03 22:45:41 · 661 阅读 · 0 评论 -
Binder服务线程分析
常用的创建Binder Service的流程 defaultServiceManager()->adddService(String16(“batteryproperties”), this); ProcessState::self()->startThreadPool(); IPCThreadState::self()->joinThreadPool(mIsMain); 其原创 2017-06-16 01:03:57 · 1524 阅读 · 0 评论 -
Android中使用Handler&Looper更新UI范例
本文以一个更新UI的例子来讲述Looper和Handler如何配合使用。本文所写范例仅供研究、学习之用,不喜勿喷,敬请谅解!原创 2017-06-12 01:49:36 · 903 阅读 · 0 评论 -
Android/Linux 的时间和定时器
android Linux 时钟 定时器原创 2017-08-07 01:58:18 · 2433 阅读 · 0 评论 -
Binder架构分析
原文链接:进程的Binder线程池工作过程 https://my.oschina.net/u/3168816/blog/871459彻底理解ANDROID BINDER通信架构(上) https://my.oschina.net/u/3168816/blog/824395彻底理解ANDROID BINDER通信架构(下) https://my.oschina.net/u/3168816/blo转载 2017-06-15 01:09:53 · 401 阅读 · 0 评论 -
Android Looper&Handler 源码
Native版Looper + Handler源码:system/core/libutils/Looper.cppsystem/core/include/utils/Looper.h Native层一个仿照HandlerThread.java实现的HandlerThread:./packages/services/Car/libvehiclenetwork/native/Hand...原创 2019-01-07 00:33:24 · 315 阅读 · 0 评论 -
binder实现原理分析
binder整体架构使用binder进行跨进程通信/调用的基本架构如下图所示:Server提供binder服务,Client通过binder远程访问Server提供的服务。对Client而言,从binder的用户角度看client访问server提供的服务就如同client调用本地的API一样方便。Client和server之间传送消息是通过binder驱动实现的,其...原创 2020-02-14 13:34:40 · 780 阅读 · 0 评论 -
java中Class和ClassLoader学习笔记
Java编译后,每个类生成一个.class文件;相应的,每个类都有一个对应的Class对象。在运行期间,如果我们要产生某个类的对象,Java虚拟机(JVM)会检查该类型的Class对象是否已被加载。如果没有被加载,JVM会根据类的名称找到.class文件并加载它。一旦某个类型的Class对象已被加载到内存,就可以用它来产生该类型的所有对象。参考博客:http://my.oschina.ne转载 2016-03-05 15:03:39 · 649 阅读 · 0 评论 -
【实验二】进程的创建与可执行程序的加载
嵌一班 王群峰 SG***028话题引入先由一个简单的进程创建的例子引入话题。且看代码:#include #include #include #include int main(){ pid_t pid; pid = fork(); //创建一个新的进程 if(pid==0) {//子进程执行块 printf("I'm the chi原创 2013-05-30 14:46:48 · 1712 阅读 · 0 评论 -
实验一 分析计算机是怎么工作的
姓名:王群峰 学号:SG5028 1.在工作目录下新建两个文件exp1.c 和 Makefile,编辑文件内容如下:2.执行make命令进行编译和反汇编3.截取反汇编得到的exp1.s文件中main函数、f函数与g函数部分,得到如下所示内容:4.逐行分析汇编代码如下:原创 2013-05-15 20:05:25 · 1023 阅读 · 0 评论 -
实验五-简述Linux/x86是如何工作的
学号:sg***5028,姓名:王群峰基础知识“存储程序”原理,是将根据特定问题编写的程序存放在计算机存储器中,然后按存储器中的存储程序的首地址执行程序的第一条指令,以后就按照该程序的规定顺序执行其他指令,直至程序结束执行。存储程序和程序控制原理的要点是,程序输入到计算机中,存储在内存储器中(存储原理),在运行时,控制器按地址顺序取出存放在内存储器中的指令(按地址顺序访问指令),然原创 2013-06-27 11:10:29 · 1066 阅读 · 0 评论 -
multisim10元件库介绍
1。Source库:包括电源、信号电压源、信号电流源、可控电压源、可控电流源、函数控制器件6个类。2。BASIC库:包含基础元件,如电阻、电容、电感、二极管、三极管、开关等;3。Diodes:二极管库,包含普通二极管、齐纳二极管、二极管桥、变容二极管、PIN二极管、发光二极管等。4。Transisitor库:三极管库,包含NPN、PNP、达林顿管、IGBT、MOS管、场效应管、可控硅等转载 2010-05-18 19:47:00 · 4545 阅读 · 0 评论 -
Keil 调试ARM时出现的 *** error 65: access violation at xxxx : no 'write' permission
实验用soc:s3c2440分析原因:内存访问权限错误解决办法:1.调试开始后使用map命令进行分段更改内存访问权限例如调试小程序时,仅在0~4kB范围内寻址时,出现:*** error 65: access violation at 0x00000FFC : no 'write' permission*** error 65: access violation at 0x原创 2013-05-06 22:21:24 · 11771 阅读 · 0 评论 -
TCL(Tool Command Language)学习笔记4-String
set string_1 "This is my string."puts "\$string_1 = \"$string_1\"";#使用string length获取字符串长度set length_string_1 [string length $string_1]puts "Length of \$string_1= $length_string_1 ";#使用string i原创 2012-06-15 16:07:18 · 768 阅读 · 0 评论 -
TCL(Tool Command Language)学习笔记1-变量&循环&分支
set x 0 ; set y 0;#while循环的使用while {$x<6} { #switch结构的使用 switch {[expr $x%3]} { 1 { set y [expr $y+1] ;puts x=$x,y=$y,{\$x%3}=[expr $x%3]; } 2 { set y [expr $y-1] ;puts x=$x,y=$y,{\原创 2012-06-03 15:41:57 · 1026 阅读 · 0 评论 -
TCL(Tool Command Language)学习笔记3-List
;#设定一个listset x "Apple Banana Cat Dog" ;# List ,as like arrayputs [lindex $x 1] ;#access list item with index;#Traverse a list using foreach loop;#用foreach遍历一个list set item_x_num 0foreach item_原创 2012-06-14 21:20:05 · 854 阅读 · 0 评论 -
TCL(Tool Command Language)学习笔记2-过程定义与使用
#过程定义及调用proc sum {arg1 arg2} { return [expr $arg1+$arg2] }puts "sum (2 3) \t=[sum (2 3) ]"puts "sum \"2\" \"3\" \t=[sum "2" "3"]"puts "sum 2 3 \t=[sum 2 3]"#过程参数默认值与不定参数proc proc_with_default原创 2012-06-03 15:43:41 · 906 阅读 · 0 评论 -
Verilog HDL 测量相位差
源程序://测量两路信号相位差//要求两路信号除相位不同外,其他信息必须完全一致`define WIDTH 16module phase_diff_detect( input i_clk, //输入标准时钟 input i_rstn, //输入复位信号 input i_en_o, //输入使能输出 input i_sig1, //输入待测信号1 input原创 2012-06-21 15:54:05 · 6401 阅读 · 6 评论 -
VHDL数据类型的转换
3.数据类型的转换在VHDL程序中,不同类型的对象不能代入,因此要进行类型转换.类型转换的方法有:(1)类型标记法.用类型名称来实现关系密切的标量类型之间的转换.例如: VARIABLE x:INTEGER;VARIABLE y:REAL;使用类型标记(即类型名)实现类型转换时,可采用赋值语句:x :=INTEGER(y); y :=REAL(x).(2)类型函数法转载 2011-04-19 22:24:00 · 3157 阅读 · 0 评论 -
IC Design综合工具--Synplify Pro的常用选项及命令
Synplify Pro是Synplicity公司(Synopsys公司于2008年收购了Synplicity公司, 其客户遍布于通讯、半导体、航空/航天、计算机和军事电子等诸多领域,如:Philips,Agilent,Cisco,Lockheed,GE,Siemens,Lucent,Ericsson,Huawei,ZTE,UTStarcom等。本人所在公司即其中之一。)的高性能FPGA综合工具,转载 2012-12-29 16:23:38 · 3265 阅读 · 0 评论 -
Verilog 扫描数码管 5461BS 实验代码
编码速度明显提上去了,不到1个小时,竟然用Verilog HDL写了个数码管扫描的模块。实验十分顺利!系统使用EP2C5T144C8 CycloneII FPGA,时钟为50MHz有源晶振。扫描频率为秒级,肉眼可观察,现象十分明显。 module led7seg( input i_clk, input i_rstn, output [7:0]o_data, output原创 2012-05-30 22:22:03 · 2595 阅读 · 0 评论 -
Verilog HDL 测量输入信号的正、负脉宽
源程序://测量输入信号的正、负脉宽//输出数据为脉冲所占时钟周期数`define WIDTH 16module pulse_width_detect( input i_clk, //输入标准时钟 input i_rstn, //输入复位信号 input i_en_o, //输入使能输出 input i_sig, //输入待测信号 input i_sel,原创 2012-06-21 16:14:17 · 6607 阅读 · 1 评论 -
dlx流水线 cpu的verilog实现,最长最长的代码。。。
老师布置的任务,做实验实现dlx指令集的一个5级pipeline的cpu。那天晚上,为了处理流水线的相关问题,搞了半夜,复制粘贴了3k多行代码,搞的头昏眼花的,不过终究算是通过了,相关处理的很顺利,cpu也基本搞定,后来又添加了跳转指令成功时的流水线排空操作,cpu也算大功告成了。支持add、addi、ld、sw 4条指令,哈佛结构目前未发现bug,现在拿出来和大家分享原创 2012-12-31 14:12:26 · 2567 阅读 · 1 评论 -
16位乘法器单时钟加法树结构
以下为加法树结构示意图://16位加法树乘法器module add_tree16( Clk,DataInA,DataInB,Start,DataOut,DataOk);input Clk;input Start;input [15:0]DataInA;input [15:0]DataInB;output DataOk;output [31:0]DataOut;reg [原创 2011-11-12 07:34:09 · 2864 阅读 · 0 评论 -
modelsim仿真verilog HDL 简单命令使用
quit -f#强制退出modelsimquit -sim#退出仿真器vlog exer1130/dl.v#编译verilog hdl文件exer1130/dl.v 到work库vsim work.dl#对work库下的dl单元进行仿真add wave sim:/dl/*#把仿真器下的dl单元里的所有信号添加到波形窗口force i_rs原创 2012-11-30 18:22:15 · 3747 阅读 · 0 评论 -
uart-verilog HDL
接收模块:`define VERIFY_EVEN 2'b00`define VERIFY_ODD 2'b01`define VERIFY_NONE 2'b10module uart_rx( i_clk, //系统时钟输入 i_clk_rx, //移位时钟输入 i_rx_data, //串行数据输入 i_verify_mode,//校验模式(允许:奇校验、偶校验原创 2012-06-22 09:52:25 · 3704 阅读 · 5 评论 -
一种异步FIFO实现
异步fifo整体模型:优点:分别在读、写时钟域判断空、满信号,即时使用状态信号控制fifo的操作,可有效避免读写溢出问题。在判断空满状态时,本设计使用了格雷码进行比较,可有效避免数据变化时的竞争冒险问题。在最坏情况下,会造成读、写操作延迟一个时钟周期(格雷码的优势),但不会出现数据读、写错误等现象。各模块简要介绍:FIFO Memory:双端口异步ram,fifo控制的原创 2013-01-12 12:58:30 · 3254 阅读 · 0 评论 -
移位寄存器模型.v
module dlatch(q,d,clk,reset);input d,clk,reset;output q;reg q;always @(posedge clk) begin if(reset) q<=0; else q<=d; endendmodulemodule sreg(q,d,clk,reset);input d,clk,res原创 2012-01-17 20:13:04 · 855 阅读 · 0 评论 -
一种三分频电路的实现与仿真
module fenpin; reg clk; reg q1; reg q2; wire d1,d2; initial begin clk = 0; q1 = 0; q2 = 0; end always #10 clk = ~ clk; always @(posedge clk) q1<=d1; alw原创 2013-01-22 16:14:30 · 3211 阅读 · 0 评论 -
在屏幕上绘制圆形函数
//此函数使用画图的库画一个圆//参数使用 center_x 圆心x坐标、center_y 圆心y坐标、radius 半径、color 所使用的颜色//绘图思想://把圆看成是以圆心为原点、x y分别为两坐标轴的一个函数。对于上半圆 y=sqrt(radius*radius-x*x);对于下半圆y=-sqrt(radius*radius-x*x)//因此 遍历圆的图形所经历的所有x原创 2012-12-06 20:20:05 · 2715 阅读 · 0 评论 -
ARM9汇编程序内存拷贝(块寻址,快速执行)
程序使用Keil RealView MDK-v4.00进行编译、仿真通过实验用SOC:S3C2440注意仿真时的内存权限问题,在开始仿真后,请先设置内存访问权限,参考权限如下:map 0,4096 read write execmap 0x53000000,0x5300FFFF read write PRESERVE8 AREA RESET, CODE, READO原创 2013-05-07 09:21:06 · 3349 阅读 · 0 评论 -
通用型51单片机lcd1602驱动
文件:lcd_1602.h#ifndef _LCD1602_TRAVELER_H_ #define _LCD1602_TRAVELER_H_/*************************待配置项*******************************/ #define DATA_LCD P0 //液晶与MCU 数据口 sbit RS_LCD = P2^5; // 液晶的R原创 2011-09-04 19:24:23 · 2267 阅读 · 1 评论