自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 资源 (1)
  • 收藏
  • 关注

原创 仿真波形vcd文件格式解析以及从vcd生成tb的办法(附代码)

rtl仿真的vcd文件,逻辑分析仪的vcd文件转tb的处理方法以及源代码

2024-04-17 16:59:46 713 1

原创 fsdb使用的小技巧手记

fsdbreportfsdbreprot 可以把波形中的数据打印出来整理成文本格式,打印过程中可以做判断,常用选项如下,更详细的可以fsdbreprot -helpfsdbreport xxxx.fsdb -s “hier/a1/a2/a2” “hier/b1/b2/b3” -exp “hier/c1==1” -of h -bt 100ns -et 500ns其中 -s为signal 列表exp为表达式bt为开始时间et为结束时间of为输出格式,可选b,o,d,u,h,fs

2023-06-30 19:53:00 1535

原创 RGMII接口在fpga上调试手记

调试条件:ila/signal tap ,逻辑分析仪,示波器各种gmac ip在fpga上调试的时候会遇到各种奇怪的问题,一般不要急,通常ip上都会提供loopback 功能(mac层),首先保证loopback能在fpga上调试通过[2.1 ] 如果loopback不通过,或者初始化有问题,要检查reset,clock时候正确配置(loopback模式下时钟是否严格符合要求不一定太重要,但是必须要有),寄存器配置要正确,loopback模式可能调佣了dma,要保证dma能正确搬运数据,总线不会卡

2023-04-17 09:46:56 835

原创 以太网 RGMII 1000/100/10M 的不同帧格式

rgmii下数据帧格式分析

2022-09-07 18:42:32 2006

原创 vivado下ila使用手记

ila使用的一些记录,小技巧

2022-06-15 14:37:14 3240

原创 vivado下QSFP模块调试遇到的一些坑和调试简单记录

qsfp调试技巧记录和避坑指南,希望对各位有点帮助,有问题也希望多加探讨,共同学习

2022-05-29 22:35:59 1563 14

开方算法.docx

开方算法的硬件实现方法,收集的一些资料,有一定误差。牛顿迭代和中值定理算法。 可以方便的通过rtl实现,运算速度比较快

2019-07-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除