@4.verilog 参数

参数

参数化:参数用来定义时延和变量的宽度,以及状态的编码等

  • 参数类型
    parameter:通过例化传参,改变参数值
    localparam:

  • parameter
    只能对参数赋值一次,使用defparam 实现

在这里插入图片描述
对于传多个参数时,如BUS_ADDR, M1 #(.BUS_WIDTH(64),.BUS_ADDR(32))U1(--------)

  • localparam
    局部参数,定义格式与parameter相同,localparam CODE=8`h2
    在例化时,不同通过传递参数,或者defparam语句对局部参数进行修改
    若局部参数是通过其它非局部参数定义的
parameter BYTE=8;
localparam NIBBLE=2*BYTE;

例1 采用参数定义的数据比较器
在这里插入图片描述
例2 采用参数定义的加法器

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值